OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [ser/] [rcvbuf.v] - Diff between revs 288 and 290

Show entire file | Details | Blame | View Log

Rev 288 Rev 290
Line 1... Line 1...
//
//
// rcvbuf.v -- serial line receiver buffer
// rcvbuf.v -- serial line receiver buffer
//
//
 
 
 
 
 
`timescale 1ns/10ps
 
`default_nettype none
 
 
 
 
module rcvbuf(clk, reset, read, ready, data_out, serial_in);
module rcvbuf(clk, reset, read, ready, data_out, serial_in);
    input clk;
    input clk;
    input reset;
    input reset;
    input read;
    input read;
    output reg ready;
    output reg ready;
Line 12... Line 16...
    input serial_in;
    input serial_in;
 
 
  wire full;
  wire full;
  wire [7:0] parallel_out;
  wire [7:0] parallel_out;
 
 
  rcv rcv1(clk, reset, full, parallel_out, serial_in);
  rcv rcv_1(clk, reset, full, parallel_out, serial_in);
 
 
  always @(posedge clk) begin
  always @(posedge clk) begin
    if (reset == 1) begin
    if (reset == 1) begin
      ready <= 0;
      ready <= 0;
    end else begin
    end else begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.