OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [ser/] [rcvbuf.v] - Diff between revs 27 and 117

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 117
Line 1... Line 1...
 
//
 
// rcvbuf.v -- serial line receiver buffer
 
//
 
 
 
 
module rcvbuf(clk, reset, read, ready, data_out, serial_in);
module rcvbuf(clk, reset, read, ready, data_out, serial_in);
    input clk;
    input clk;
    input reset;
    input reset;
    input read;
    input read;
    output reg ready;
    output reg ready;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.