OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [ser/] [ser.v] - Diff between revs 27 and 117

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 117
Line 1... Line 1...
 
//
 
// ser.v -- serial line interface
 
//
 
 
 
 
module ser(clk, reset,
module ser(clk, reset,
           en, wr, addr,
           en, wr, addr,
           data_in, data_out,
           data_in, data_out,
           wt, irq_r, irq_t,
           wt, irq_r, irq_t,
           rxd, txd);
           rxd, txd);
 
    // internal interface
    input clk;
    input clk;
    input reset;
    input reset;
    input en;
    input en;
    input wr;
    input wr;
    input [3:2] addr;
    input [3:2] addr;
    input [7:0] data_in;
    input [7:0] data_in;
    output reg [7:0] data_out;
    output reg [7:0] data_out;
    output wt;
    output wt;
    output irq_r;
    output irq_r;
    output irq_t;
    output irq_t;
 
    // external interface
    input rxd;
    input rxd;
    output txd;
    output txd;
 
 
  wire wr_rcv_ctrl;
  wire wr_rcv_ctrl;
  wire rd_rcv_data;
  wire rd_rcv_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.