OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [ser/] [xmt.v] - Diff between revs 288 and 290

Show entire file | Details | Blame | View Log

Rev 288 Rev 290
Line 1... Line 1...
//
//
// xmt.v -- serial line transmitter
// xmt.v -- serial line transmitter
//
//
 
 
 
 
 
`timescale 1ns/10ps
 
`default_nettype none
 
 
 
 
module xmt(clk, reset, load, empty, parallel_in, serial_out);
module xmt(clk, reset, load, empty, parallel_in, serial_out);
    input clk;
    input clk;
    input reset;
    input reset;
    input load;
    input load;
    output reg empty;
    output reg empty;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.