OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [tests/] [test_011/] [test_011.s] - Diff between revs 295 and 307

Show entire file | Details | Blame | View Log

Rev 295 Rev 307
Line 3... Line 3...
;
;
 
 
        .nosyn
        .nosyn
 
 
        ldhi    $4,0xF0200000
        ldhi    $4,0xF0200000
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
        ldhi    $6,0xF0100000
        ldhi    $6,0xF0100000
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
try:    ldw     $5,$4,0
try:    ldw     $5,$4,0
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
        and     $5,$5,1
        and     $5,$5,1
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
        beq     $5,$0,try
        beq     $5,$0,try
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
        ldw     $5,$4,4
        ldw     $5,$4,4
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
        or      $5,$5,0x0700
        or      $5,$5,0x0700
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
        stw     $5,$6,0
        stw     $5,$6,0
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
        add     $6,$6,4
        add     $6,$6,4
 
 
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
        j       try
        j       try
 
 
 No newline at end of file
 No newline at end of file
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
        add     $0,$0,$0
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.