OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [sim/] [memory.c] - Diff between revs 91 and 246

Show entire file | Details | Blame | View Log

Rev 91 Rev 246
Line 14... Line 14...
#include "except.h"
#include "except.h"
#include "cpu.h"
#include "cpu.h"
#include "memory.h"
#include "memory.h"
#include "timer.h"
#include "timer.h"
#include "dspkbd.h"
#include "dspkbd.h"
#include "term.h"
#include "serial.h"
#include "disk.h"
#include "disk.h"
#include "output.h"
#include "output.h"
#include "shutdown.h"
#include "shutdown.h"
#include "graph.h"
#include "graph.h"
 
 
Line 61... Line 61...
  }
  }
  if ((pAddr & IO_DEV_MASK) == KEYBOARD_BASE) {
  if ((pAddr & IO_DEV_MASK) == KEYBOARD_BASE) {
    data = keyboardRead(pAddr & IO_REG_MASK);
    data = keyboardRead(pAddr & IO_REG_MASK);
    return data;
    return data;
  }
  }
  if ((pAddr & IO_DEV_MASK) == TERM_BASE) {
  if ((pAddr & IO_DEV_MASK) == SERIAL_BASE) {
    data = termRead(pAddr & IO_REG_MASK);
    data = serialRead(pAddr & IO_REG_MASK);
    return data;
    return data;
  }
  }
  if ((pAddr & IO_DEV_MASK) == DISK_BASE) {
  if ((pAddr & IO_DEV_MASK) == DISK_BASE) {
    data = diskRead(pAddr & IO_REG_MASK);
    data = diskRead(pAddr & IO_REG_MASK);
    return data;
    return data;
Line 151... Line 151...
  }
  }
  if ((pAddr & IO_DEV_MASK) == KEYBOARD_BASE) {
  if ((pAddr & IO_DEV_MASK) == KEYBOARD_BASE) {
    keyboardWrite(pAddr & IO_REG_MASK, data);
    keyboardWrite(pAddr & IO_REG_MASK, data);
    return;
    return;
  }
  }
  if ((pAddr & IO_DEV_MASK) == TERM_BASE) {
  if ((pAddr & IO_DEV_MASK) == SERIAL_BASE) {
    termWrite(pAddr & IO_REG_MASK, data);
    serialWrite(pAddr & IO_REG_MASK, data);
    return;
    return;
  }
  }
  if ((pAddr & IO_DEV_MASK) == DISK_BASE) {
  if ((pAddr & IO_DEV_MASK) == DISK_BASE) {
    diskWrite(pAddr & IO_REG_MASK, data);
    diskWrite(pAddr & IO_REG_MASK, data);
    return;
    return;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.