OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [fpmult.sdc] - Diff between revs 5 and 8

Show entire file | Details | Blame | View Log

Rev 5 Rev 8
Line 1... Line 1...
## Generated SDC file "fpmult.sdc"
#************************************************************
 
# THIS IS A WIZARD-GENERATED FILE.
 
#
 
# Version 10.1 Build 153 11/29/2010 SJ Web Edition
 
#
 
#************************************************************
 
 
## Copyright (C) 1991-2010 Altera Corporation
# Copyright (C) 1991-2010 Altera Corporation
## Your use of Altera Corporation's design tools, logic functions
# Your use of Altera Corporation's design tools, logic functions
## and other software and tools, and its AMPP partner logic
# and other software and tools, and its AMPP partner logic
## functions, and any output files from any of the foregoing
# functions, and any output files from any of the foregoing
## (including device programming or simulation files), and any
# (including device programming or simulation files), and any
## associated documentation or information are expressly subject
# associated documentation or information are expressly subject
## to the terms and conditions of the Altera Program License
# to the terms and conditions of the Altera Program License
## Subscription Agreement, Altera MegaCore Function License
# Subscription Agreement, Altera MegaCore Function License
## Agreement, or other applicable license agreement, including,
# Agreement, or other applicable license agreement, including,
## without limitation, that your use is for the sole purpose of
# without limitation, that your use is for the sole purpose of
## programming logic devices manufactured by Altera and sold by
# programming logic devices manufactured by Altera and sold by
## Altera or its authorized distributors.  Please refer to the
# Altera or its authorized distributors.  Please refer to the
## applicable agreement for further details.
# applicable agreement for further details.
 
 
 
 
## VENDOR  "Altera"
 
## PROGRAM "Quartus II"
 
## VERSION "Version 10.1 Build 153 11/29/2010 SJ Web Edition"
 
 
 
## DATE    "Sun Jan 30 18:51:15 2011"
# Clock constraints
 
 
##
create_clock -name "clk" -period 50.000ns [get_ports {clk}] -waveform {0.000 25.000}
## DEVICE  "EP2C20F484C7"
 
##
 
 
 
 
 
#**************************************************************
# Automatically constrain PLL and other generated clocks
# Time Information
derive_pll_clocks -create_base_clocks
#**************************************************************
 
 
 
set_time_format -unit ns -decimal_places 3
# Automatically calculate clock uncertainty to jitter and other effects.
 
#derive_clock_uncertainty
 
# Not supported for family Cyclone II
 
 
 
# tsu/th constraints
 
 
 
# tco constraints
 
 
#**************************************************************
set_output_delay -clock "clk" -max 40ns [get_ports {q.a q.b}]
# Create Clock
 
#**************************************************************
 
 
 
create_clock -name {altera_reserved_tck} -period 20.000 -waveform { 0.000 10.000 } [get_ports { altera_reserved_tck }]
 
 
 
 
# tpd constraints
#**************************************************************
 
# Create Generated Clock
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Clock Latency
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Clock Uncertainty
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Input Delay
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Output Delay
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Clock Groups
 
#**************************************************************
 
 
 
set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}]
 
 
 
 
 
#**************************************************************
 
# Set False Path
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Multicycle Path
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Maximum Delay
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Minimum Delay
 
#**************************************************************
 
 
 
 
 
 
 
#**************************************************************
 
# Set Input Transition
 
#**************************************************************
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.