OpenCores
URL https://opencores.org/ocsvn/epc_rfid_transponder/epc_rfid_transponder/trunk

Subversion Repositories epc_rfid_transponder

[/] [epc_rfid_transponder/] [trunk/] [memctrl.vhd] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 8... Line 8...
--
--
--     File name      : MemCtrl.vhd 
--     File name      : MemCtrl.vhd 
--
--
--     Description    : Flash memory controller.  
--     Description    : Flash memory controller.  
--
--
--     Authors        : Erwing Sanchez <erwing.sanchezsanchez@polito.it>
--     Authors        : Erwing Sanchez <erwing.sanchez@polito.it>
--
 
--     Rev. History   : Erwing Sanchez  -- 17/07/06
 
--                             
--                             
-------------------------------------------------------------------------------            
-------------------------------------------------------------------------------            
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--      EPC Memory Map
--      EPC Memory Map
--
--

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.