OpenCores
URL https://opencores.org/ocsvn/epc_rfid_transponder/epc_rfid_transponder/trunk

Subversion Repositories epc_rfid_transponder

[/] [epc_rfid_transponder/] [trunk/] [tb_InvSelFlag.vhd] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 9... Line 9...
--
--
--     File name      : tb_InvSelFlag.vhd 
--     File name      : tb_InvSelFlag.vhd 
--
--
--     Description    : Inventoried and Selected flag test bench.
--     Description    : Inventoried and Selected flag test bench.
--                      
--                      
--     Authors        : Erwing R. Sanchez <erwing.sanchezsanchez@polito.it>
--     Authors        : Erwing R. Sanchez <erwing.sanchez@polito.it>
--
 
--     Rev. History   : 16 Oct 06 
 
--                                 
--                                 
-------------------------------------------------------------------------------            
-------------------------------------------------------------------------------            
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.