OpenCores
URL https://opencores.org/ocsvn/ethernet_tri_mode/ethernet_tri_mode/trunk

Subversion Repositories ethernet_tri_mode

[/] [ethernet_tri_mode/] [trunk/] [rtl/] [verilog/] [MAC_rx/] [MAC_rx_add_chk.v] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 1... Line 1...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  MAC_rx_add_chk.v                                            ////
////  MAC_rx_add_chk.v                                            ////
////                                                              ////
////                                                              ////
////  This file is part of the Ethernet IP core project           ////
////  This file is part of the Ethernet IP core project           ////
////  http://www.opencores.org/projects.cgi/web/ethernet_tri_mode/////
////  http://www.opencores.org/projects.cgi/wr_en/ethernet_tri_mode/////
////                                                              ////
////                                                              ////
////  Author(s):                                                  ////
////  Author(s):                                                  ////
////      - Jon Gao (gaojon@yahoo.com)                            ////
////      - Jon Gao (gaojon@yahoo.com)                            ////
////                                                              ////
////                                                              ////
////                                                              ////
////                                                              ////
Line 37... Line 37...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//                                                                    
//                                                                    
// CVS Revision History                                               
// CVS Revision History                                               
//                                                                    
//                                                                    
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.2  2005/12/16 06:44:17  Administrator
 
// replaced tab with space.
 
// passed 9.6k length frame test.
 
//
// Revision 1.1.1.1  2005/12/13 01:51:45  Administrator
// Revision 1.1.1.1  2005/12/13 01:51:45  Administrator
// no message
// no message
//                                           
//                                           
 
 
module MAC_rx_add_chk (
module MAC_rx_add_chk (
Line 70... Line 74...
input           MAC_add_prom_wr         ;
input           MAC_add_prom_wr         ;
 
 
//******************************************************************************   
//******************************************************************************   
//internal signals                                                              
//internal signals                                                              
//******************************************************************************
//******************************************************************************
reg[2:0] addra;
reg [2:0]   addr_rd;
wire[2:0]        addrb;
wire[2:0]   addr_wr;
wire[7:0]        dinb;
wire[7:0]   din;
wire[7:0]        douta;
wire[7:0]   dout;
wire            web;
wire        wr_en;
 
 
reg                     MAC_rx_add_chk_err;
reg                     MAC_rx_add_chk_err;
reg                     MAC_add_prom_wr_dl1;
reg                     MAC_add_prom_wr_dl1;
reg                     MAC_add_prom_wr_dl2;
reg                     MAC_add_prom_wr_dl2;
 
reg [7:0]   data_dl1                ;
 
reg         MAC_add_en_dl1          ;
//******************************************************************************   
//******************************************************************************   
//write data from cpu to prom                                                              
//write data from cpu to prom                                                              
//******************************************************************************
//******************************************************************************
always @ (posedge Clk or posedge Reset)
always @ (posedge Clk or posedge Reset)
        if (Reset)
        if (Reset)
                begin
                begin
 
        data_dl1            <=0;
 
        MAC_add_en_dl1      <=0;
 
        end
 
    else
 
        begin
 
        data_dl1            <=data;
 
        MAC_add_en_dl1      <=MAC_add_en;
 
        end
 
 
 
always @ (posedge Clk or posedge Reset)
 
    if (Reset)
 
        begin
                MAC_add_prom_wr_dl1             <=0;
                MAC_add_prom_wr_dl1             <=0;
                MAC_add_prom_wr_dl2             <=0;
                MAC_add_prom_wr_dl2             <=0;
                end
                end
        else
        else
                begin
                begin
                MAC_add_prom_wr_dl1             <=MAC_add_prom_wr;
                MAC_add_prom_wr_dl1             <=MAC_add_prom_wr;
                MAC_add_prom_wr_dl2             <=MAC_add_prom_wr_dl1;
                MAC_add_prom_wr_dl2             <=MAC_add_prom_wr_dl1;
                end
                end
assign web              =MAC_add_prom_wr_dl1&!MAC_add_prom_wr_dl2;
 
assign addrb    =MAC_add_prom_add;
assign wr_en      =MAC_add_prom_wr_dl1&!MAC_add_prom_wr_dl2;
assign dinb             =MAC_add_prom_data;
assign addr_wr    =MAC_add_prom_add;
 
assign din        =MAC_add_prom_data;
 
 
//******************************************************************************   
//******************************************************************************   
//mac add verify                                                             
//mac add verify                                                             
//******************************************************************************
//******************************************************************************
always @ (posedge Clk or posedge Reset)
always @ (posedge Clk or posedge Reset)
        if (Reset)
        if (Reset)
                addra           <=0;
        addr_rd       <=0;
        else if (Init)
        else if (Init)
                addra           <=0;
        addr_rd       <=0;
        else if (MAC_add_en)
        else if (MAC_add_en)
                addra           <=addra + 1;
        addr_rd       <=addr_rd + 1;
 
 
always @ (posedge Clk or posedge Reset)
always @ (posedge Clk or posedge Reset)
        if (Reset)
        if (Reset)
                MAC_rx_add_chk_err      <=0;
                MAC_rx_add_chk_err      <=0;
        else if (Init)
        else if (Init)
                MAC_rx_add_chk_err      <=0;
                MAC_rx_add_chk_err      <=0;
        else if (MAC_rx_add_chk_en&&MAC_add_en&&douta!=data)
    else if (MAC_rx_add_chk_en&&MAC_add_en_dl1&&dout!=data_dl1)
                MAC_rx_add_chk_err      <=1;
                MAC_rx_add_chk_err      <=1;
 
 
 
 
//******************************************************************************   
//******************************************************************************   
//a port for read ,b port for write .
//a port for read ,b port for write .
//******************************************************************************     
//******************************************************************************     
duram #(8,3,"M512","DUAL_PORT") U_duram(
duram #(8,3,"M512","DUAL_PORT") U_duram(
.data_a         (dinb           ),
.data_a         (din       ),
.wren_a         (web        ),
.wren_a         (wr_en        ),
.address_a      (addra      ),
.address_a      (addr_wr      ),
.address_b      (addrb      ),
.address_b      (addr_rd      ),
.clock_a        (Clk        ),
.clock_a        (Clk        ),
.clock_b        (Clk        ),
.clock_b        (Clk        ),
.q_b            (douta      ));
.q_b            (dout      ));
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.