OpenCores
URL https://opencores.org/ocsvn/ethernet_tri_mode/ethernet_tri_mode/trunk

Subversion Repositories ethernet_tri_mode

[/] [ethernet_tri_mode/] [trunk/] [rtl/] [verilog/] [MAC_tx/] [MAC_tx_addr_add.v] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 1... Line 1...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  MAC_tx_addr_add.v                                           ////
////  MAC_tx_addr_add.v                                           ////
////                                                              ////
////                                                              ////
////  This file is part of the Ethernet IP core project           ////
////  This file is part of the Ethernet IP core project           ////
////  http://www.opencores.org/projects.cgi/web/ethernet_tri_mode/////
////  http://www.opencores.org/projects.cgi/wr_en/ethernet_tri_mode/////
////                                                              ////
////                                                              ////
////  Author(s):                                                  ////
////  Author(s):                                                  ////
////      - Jon Gao (gaojon@yahoo.com)                            ////
////      - Jon Gao (gaojon@yahoo.com)                            ////
////                                                              ////
////                                                              ////
////                                                              ////
////                                                              ////
Line 37... Line 37...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//                                                                    
//                                                                    
// CVS Revision History                                               
// CVS Revision History                                               
//                                                                    
//                                                                    
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.2  2005/12/16 06:44:18  Administrator
 
// replaced tab with space.
 
// passed 9.6k length frame test.
 
//
// Revision 1.1.1.1  2005/12/13 01:51:45  Administrator
// Revision 1.1.1.1  2005/12/13 01:51:45  Administrator
// no message
// no message
//                                           
//                                           
 
 
module MAC_tx_addr_add (
module MAC_tx_addr_add (
Line 51... Line 55...
MAC_tx_addr_data        ,
MAC_tx_addr_data        ,
//CPU               ,
//CPU               ,
MAC_add_prom_data       ,
MAC_add_prom_data       ,
MAC_add_prom_add        ,
MAC_add_prom_add        ,
MAC_add_prom_wr
MAC_add_prom_wr
 
 
);
);
 
 
input                   Reset                           ;
input                   Reset                           ;
input                   Clk                     ;
input                   Clk                     ;
input                   MAC_tx_addr_rd      ;
input                   MAC_tx_addr_rd      ;
Line 67... Line 70...
input                   MAC_add_prom_wr         ;
input                   MAC_add_prom_wr         ;
 
 
//******************************************************************************   
//******************************************************************************   
//internal signals                                                              
//internal signals                                                              
//******************************************************************************
//******************************************************************************
reg[2:0] addra;
reg [2:0]       add_rd;
wire[2:0]        addrb;
wire[2:0]       add_wr;
wire[7:0]        dinb;
wire[7:0]       din;
wire[7:0]        douta;
wire[7:0]       dout;
wire            web;
wire            wr_en;
 
 
 
 
reg                     MAC_add_prom_wr_dl1;
reg                     MAC_add_prom_wr_dl1;
reg                     MAC_add_prom_wr_dl2;
reg                     MAC_add_prom_wr_dl2;
//******************************************************************************   
//******************************************************************************   
//write data from cpu to prom                                                              
//write data from cpu to prom                                                              
//******************************************************************************
//******************************************************************************
Line 90... Line 91...
        else
        else
                begin
                begin
                MAC_add_prom_wr_dl1             <=MAC_add_prom_wr;
                MAC_add_prom_wr_dl1             <=MAC_add_prom_wr;
                MAC_add_prom_wr_dl2             <=MAC_add_prom_wr_dl1;
                MAC_add_prom_wr_dl2             <=MAC_add_prom_wr_dl1;
                end
                end
assign web              =MAC_add_prom_wr_dl1&!MAC_add_prom_wr_dl2;
assign # 2 wr_en   =MAC_add_prom_wr_dl1&!MAC_add_prom_wr_dl2;
assign addrb    =MAC_add_prom_add;
assign # 2 add_wr  =MAC_add_prom_add;
assign dinb             =MAC_add_prom_data;
assign # 2 din     =MAC_add_prom_data;
 
 
//******************************************************************************   
//******************************************************************************   
//read data from cpu to prom                                                              
//read data from cpu to prom                                                              
//******************************************************************************
//******************************************************************************
always @ (posedge Clk or posedge Reset)
always @ (posedge Clk or posedge Reset)
        if (Reset)
        if (Reset)
                addra           <=0;
        add_rd       <=0;
        else if (MAC_tx_addr_init)
        else if (MAC_tx_addr_init)
                addra           <=0;
        add_rd       <=0;
        else if (MAC_tx_addr_rd)
        else if (MAC_tx_addr_rd)
                addra           <=addra + 1;
        add_rd       <=add_rd + 1;
assign MAC_tx_addr_data=douta;
assign MAC_tx_addr_data=dout;
//******************************************************************************   
//******************************************************************************   
//a port for read ,b port for write .
//b port for read ,a port for write .
//******************************************************************************
//******************************************************************************
duram #(8,3,"M512","DUAL_PORT") U_duram(
duram #(8,3,"M512","DUAL_PORT") U_duram(
.data_a         (dinb           ),
.data_a         (din            ),
.wren_a         (web        ),
.wren_a         (wr_en          ),
.address_a      (addra      ),
.address_a      (add_wr         ),
.address_b      (addrb      ),
.address_b      (add_rd         ),
.clock_a        (Clk        ),
.clock_a        (Clk        ),
.clock_b        (Clk        ),
.clock_b        (Clk        ),
.q_b            (douta      ));
.q_b            (dout           ));
 
 
 
 
endmodule
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.