URL
https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 32 |
Rev 36 |
Line 14... |
Line 14... |
gtx10g_rxn : in std_logic_vector(3 downto 0);
|
gtx10g_rxn : in std_logic_vector(3 downto 0);
|
gtx10g_rxp : in std_logic_vector(3 downto 0);
|
gtx10g_rxp : in std_logic_vector(3 downto 0);
|
gtx_refclk_n : in std_logic;
|
gtx_refclk_n : in std_logic;
|
gtx_refclk_p : in std_logic;
|
gtx_refclk_p : in std_logic;
|
gtx_sfp_disable : out std_logic_vector(3 downto 0);
|
gtx_sfp_disable : out std_logic_vector(3 downto 0);
|
|
gtx_rate_sel : out std_logic_vector(3 downto 0);
|
|
si570_oe : out std_logic;
|
clk_2_n : in std_logic;
|
clk_2_n : in std_logic;
|
clk_2_p : in std_logic
|
clk_2_p : in std_logic
|
);
|
);
|
|
|
end afck_10g_2;
|
end afck_10g_2;
|
Line 250... |
Line 252... |
rst_n : in std_logic;
|
rst_n : in std_logic;
|
clk_user : in std_logic);
|
clk_user : in std_logic);
|
end component fade_one_channel;
|
end component fade_one_channel;
|
|
|
begin -- beh1
|
begin -- beh1
|
|
si570_oe <= '1';
|
-- Initialization vector
|
-- Initialization vector
|
configuration_vector(33) <= '1'; -- training
|
configuration_vector(33) <= '1'; -- training
|
configuration_vector(284) <= '1'; -- auto negotiation
|
configuration_vector(284) <= '1'; -- auto negotiation
|
|
|
|
gtx_rate_sel <= (others => '1');
|
signal_detect <= (others => '1'); -- allow transmission!
|
signal_detect <= (others => '1'); -- allow transmission!
|
gtx_sfp_disable <= (others => '0');
|
gtx_sfp_disable <= (others => '0');
|
|
|
-- Reset generator
|
-- Reset generator
|
process (clk_rst_156) is
|
process (clk_rst_156) is
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.