OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [src/] [AFCK/] [AFCK_fade_top_4ch.vhd] - Diff between revs 40 and 41

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 40 Rev 41
Line 3... Line 3...
use ieee.numeric_std.all;
use ieee.numeric_std.all;
use work.pkt_ack_pkg.all;
use work.pkt_ack_pkg.all;
use work.desc_mgr_pkg.all;
use work.desc_mgr_pkg.all;
library unisim;
library unisim;
use unisim.vcomponents.all;
use unisim.vcomponents.all;
 
library work;
 
 
entity afck_10g_2 is
entity afck_10g_2 is
 
 
  port (
  port (
    gtx10g_txn      : out std_logic_vector(3 downto 0);
    gtx10g_txn      : out std_logic_vector(3 downto 0);
Line 100... Line 101...
  signal clk_user   : std_logic;
  signal clk_user   : std_logic;
 
 
  component ten_gig_eth_pcs_pma_0 is
  component ten_gig_eth_pcs_pma_0 is
    port (
    port (
      dclk                   : in  std_logic;
      dclk                   : in  std_logic;
 
      rxrecclk_out           : out std_logic;
      refclk_p               : in  std_logic;
      refclk_p               : in  std_logic;
      refclk_n               : in  std_logic;
      refclk_n               : in  std_logic;
      sim_speedup_control    : in  std_logic;
      sim_speedup_control    : in  std_logic;
      core_clk156_out        : out std_logic;
      coreclk_out            : out std_logic;
      qplloutclk_out         : out std_logic;
      qplloutclk_out         : out std_logic;
      qplloutrefclk_out      : out std_logic;
      qplloutrefclk_out      : out std_logic;
      qplllock_out           : out std_logic;
      qplllock_out           : out std_logic;
      txusrclk_out           : out std_logic;
      txusrclk_out           : out std_logic;
      txusrclk2_out          : out std_logic;
      txusrclk2_out          : out std_logic;
      areset_clk156_out      : out std_logic;
      areset_datapathclk_out      : out std_logic;
      gttxreset_out          : out std_logic;
      gttxreset_out          : out std_logic;
      gtrxreset_out          : out std_logic;
      gtrxreset_out          : out std_logic;
      txuserrdy_out          : out std_logic;
      txuserrdy_out          : out std_logic;
      reset_counter_done_out : out std_logic;
      reset_counter_done_out : out std_logic;
      reset                  : in  std_logic;
      reset                  : in  std_logic;
Line 147... Line 149...
      rxp                    : in  std_logic;
      rxp                    : in  std_logic;
      rxn                    : in  std_logic;
      rxn                    : in  std_logic;
      configuration_vector   : in  std_logic_vector (535 downto 0);
      configuration_vector   : in  std_logic_vector (535 downto 0);
      status_vector          : out std_logic_vector (447 downto 0);
      status_vector          : out std_logic_vector (447 downto 0);
      core_status            : out std_logic_vector (7 downto 0);
      core_status            : out std_logic_vector (7 downto 0);
      resetdone              : out std_logic;
      resetdone_out          : out std_logic;
      signal_detect          : in  std_logic;
      signal_detect          : in  std_logic;
      tx_fault               : in  std_logic;
      tx_fault               : in  std_logic;
      drp_req                : out std_logic;
      drp_req                : out std_logic;
      drp_gnt                : in  std_logic;
      drp_gnt                : in  std_logic;
      drp_den_o              : out std_logic;
      drp_den_o              : out std_logic;
Line 171... Line 173...
  end component ten_gig_eth_pcs_pma_0;
  end component ten_gig_eth_pcs_pma_0;
 
 
  component ten_gig_eth_pcs_pma_1 is
  component ten_gig_eth_pcs_pma_1 is
    port (
    port (
      dclk                 : in  std_logic;
      dclk                 : in  std_logic;
      clk156               : in  std_logic;
      rxrecclk_out         : out  std_logic;
 
      coreclk               : in  std_logic;
      txusrclk             : in  std_logic;
      txusrclk             : in  std_logic;
      txusrclk2            : in  std_logic;
      txusrclk2            : in  std_logic;
      txclk322             : out std_logic;
      txoutclk             : out std_logic;
      areset               : in  std_logic;
      areset               : in  std_logic;
      areset_clk156        : in  std_logic;
      areset_coreclk        : in  std_logic;
      gttxreset            : in  std_logic;
      gttxreset            : in  std_logic;
      gtrxreset            : in  std_logic;
      gtrxreset            : in  std_logic;
      sim_speedup_control  : in  std_logic;
      sim_speedup_control  : in  std_logic;
      txuserrdy            : in  std_logic;
      txuserrdy            : in  std_logic;
      qplllock             : in  std_logic;
      qplllock             : in  std_logic;
Line 303... Line 306...
 
 
    il1 : if n = 0 generate
    il1 : if n = 0 generate
      ten_gig_eth_pcs_pma_0_1 : ten_gig_eth_pcs_pma_0
      ten_gig_eth_pcs_pma_0_1 : ten_gig_eth_pcs_pma_0
        port map (
        port map (
          dclk                   => clk_user,
          dclk                   => clk_user,
          sim_speedup_control    => '0',
          rxrecclk_out           => open, --??
          refclk_p               => refclk_p,
          refclk_p               => refclk_p,
          refclk_n               => refclk_n,
          refclk_n               => refclk_n,
          reset                  => reset,
          sim_speedup_control    => '0',
          resetdone              => s_resetdone,
          coreclk_out        => core_clk156_out,
          core_clk156_out        => core_clk156_out,
          qplloutclk_out         => qplloutclk_out,
          txp                    => gtx10g_txp(n),
          qplloutrefclk_out      => qplloutrefclk_out,
          txn                    => gtx10g_txn(n),
          qplllock_out           => qplllock_out,
          rxp                    => gtx10g_rxp(n),
 
          rxn                    => gtx10g_rxn(n),
 
          txusrclk_out           => s_txusrclk_out,
          txusrclk_out           => s_txusrclk_out,
          txusrclk2_out          => s_txusrclk2_out,
          txusrclk2_out          => s_txusrclk2_out,
          areset_clk156_out      => areset_clk156_out,
          areset_datapathclk_out      => areset_clk156_out,
          gttxreset_out          => gttxreset_out,
          gttxreset_out          => gttxreset_out,
          gtrxreset_out          => gtrxreset_out,
          gtrxreset_out          => gtrxreset_out,
          txuserrdy_out          => txuserrdy_out,
          txuserrdy_out          => txuserrdy_out,
          reset_counter_done_out => reset_counter_done_out,
          reset_counter_done_out => reset_counter_done_out,
          qplllock_out           => qplllock_out,
          reset                  => reset,
          qplloutclk_out         => qplloutclk_out,
          gt0_eyescanreset       => '0',
          qplloutrefclk_out      => qplloutrefclk_out,
          gt0_eyescantrigger     => '0',
 
          gt0_rxcdrhold          => '0',
 
          gt0_txprbsforceerr     => '0',
 
          gt0_txpolarity         => '0',
 
          gt0_rxpolarity         => '0',
 
          gt0_rxrate             => (others => '0'),
 
          gt0_txpmareset         => '0',
 
          gt0_rxpmareset         => '0',
 
          gt0_rxdfelpmreset      => '0',
 
          gt0_txprecursor        => (others => '0'),
 
          gt0_txpostcursor       => (others => '0'),
 
          gt0_txdiffctrl         => "1110",
 
          gt0_rxlpmen            => '0',
 
          gt0_eyescandataerror   => open,
 
          gt0_txbufstatus        => open,
 
          gt0_txresetdone        => open,
 
          gt0_rxresetdone        => open,
 
          gt0_rxbufstatus        => open,
 
          gt0_rxprbserr          => open,
 
          gt0_dmonitorout        => open,
          xgmii_txd              => xgmii_txd(n),
          xgmii_txd              => xgmii_txd(n),
          xgmii_txc              => xgmii_txc(n),
          xgmii_txc              => xgmii_txc(n),
          xgmii_rxd              => xgmii_rxd(n),
          xgmii_rxd              => xgmii_rxd(n),
          xgmii_rxc              => xgmii_rxc(n),
          xgmii_rxc              => xgmii_rxc(n),
 
          txp                    => gtx10g_txp(n),
 
          txn                    => gtx10g_txn(n),
 
          rxp                    => gtx10g_rxp(n),
 
          rxn                    => gtx10g_rxn(n),
          configuration_vector   => configuration_vector,
          configuration_vector   => configuration_vector,
          status_vector          => status_vector(n),
          status_vector          => status_vector(n),
          core_status            => core_status(n),
          core_status            => core_status(n),
 
          resetdone_out          => s_resetdone,
          signal_detect          => signal_detect(n),
          signal_detect          => signal_detect(n),
          tx_fault               => tx_fault(n),
          tx_fault               => tx_fault(n),
          drp_req                => drp_req(n),
          drp_req                => drp_req(n),
          drp_gnt                => drp_gnt(n),
          drp_gnt                => drp_gnt(n),
          drp_den_o              => drp_den_o(n),
          drp_den_o              => drp_den_o(n),
          drp_dwe_o              => drp_dwe_o(n),
          drp_dwe_o              => drp_dwe_o(n),
          drp_daddr_o            => drp_daddr_o(n),
          drp_daddr_o            => drp_daddr_o(n),
          drp_di_o               => drp_di_o(n),
          drp_di_o               => drp_di_o(n),
          drp_drdy_o             => drp_drdy_o(n),
          drp_drdy_i             => drp_drdy_i(n),
          drp_drpdo_o            => drp_drpdo_o(n),
          drp_drpdo_i            => drp_drpdo_i(n),
          drp_den_i              => drp_den_i(n),
          drp_den_i              => drp_den_i(n),
          drp_dwe_i              => drp_dwe_i(n),
          drp_dwe_i              => drp_dwe_i(n),
          drp_daddr_i            => drp_daddr_i(n),
          drp_daddr_i            => drp_daddr_i(n),
          drp_di_i               => drp_di_i(n),
          drp_di_i               => drp_di_i(n),
          drp_drdy_i             => drp_drdy_i(n),
          drp_drdy_o             => drp_drdy_o(n),
          drp_drpdo_i            => drp_drpdo_i(n),
          drp_drpdo_o            => drp_drpdo_o(n),
          tx_disable             => tx_disable(n),
 
          pma_pmd_type           => "111",
          pma_pmd_type           => "111",
          gt0_eyescanreset       => '0',
          tx_disable             => tx_disable(n)
          gt0_eyescandataerror   => open,
 
          gt0_txbufstatus        => open,
 
          gt0_rxbufstatus        => open,
 
          gt0_eyescantrigger     => '0',
 
          gt0_rxcdrhold          => '0',
 
          gt0_txprbsforceerr     => '0',
 
          gt0_txpolarity         => '0',
 
          gt0_rxpolarity         => '0',
 
          gt0_rxprbserr          => open,
 
          gt0_txpmareset         => '0',
 
          gt0_rxpmareset         => '0',
 
          gt0_txresetdone        => open,
 
          gt0_rxresetdone        => open,
 
          gt0_rxdfelpmreset      => '0',
 
          gt0_rxlpmen            => '0',
 
          gt0_dmonitorout        => open,
 
          gt0_rxrate             => (others => '0'),
 
          gt0_txprecursor        => (others => '0'),
 
          gt0_txpostcursor       => (others => '0'),
 
          gt0_txdiffctrl         => "1110"
 
 
 
          );
          );
 
 
    end generate il1;
    end generate il1;
    il2 : if n /= 0 generate
    il2 : if n /= 0 generate
      ten_gig_eth_pcs_pma_1_1 : entity work.ten_gig_eth_pcs_pma_1
      ten_gig_eth_pcs_pma_1_1 : ten_gig_eth_pcs_pma_1
        port map (
        port map (
          dclk                 => clk_user,
          dclk                 => clk_user,
          clk156               => core_clk156_out,
          rxrecclk_out         => open, --??
 
          coreclk               => core_clk156_out,
          txusrclk             => s_txusrclk_out,
          txusrclk             => s_txusrclk_out,
          txusrclk2            => s_txusrclk2_out,
          txusrclk2            => s_txusrclk2_out,
          txclk322             => open,
          txoutclk => open,
          areset               => reset,
          areset               => reset,
          areset_clk156        => areset_clk156_out,
          areset_coreclk        => areset_clk156_out,
          gttxreset            => gttxreset_out,
          gttxreset            => gttxreset_out,
          gtrxreset            => gtrxreset_out,
          gtrxreset            => gtrxreset_out,
          sim_speedup_control  => '0',
          sim_speedup_control  => '0',
          txuserrdy            => txuserrdy_out,
          txuserrdy            => txuserrdy_out,
          qplllock             => qplllock_out,
          qplllock             => qplllock_out,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.