OpenCores
URL https://opencores.org/ocsvn/fpu100/fpu100/trunk

Subversion Repositories fpu100

[/] [fpu100/] [branches/] [avendor/] [readme.txt] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 16... Line 16...
post_norm_sqrt.vhd
post_norm_sqrt.vhd
comppack.vhd
comppack.vhd
fpu.vhd
fpu.vhd
 
 
***For simulation ****
***For simulation ****
\test_bench\FPU_II\*.* ( a reliable reference FPU is needed for the testbench. For more info read readme.txt in test_bench)
 
 
 
tb_fpu.vhd
 
 
 
To run then the simulation just execute fpusim.bat in a command prompt.
 
 No newline at end of file
 No newline at end of file
 
To run the simulation read readme.txt in folder test_bench.
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.