OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [posit_test_bench/] [positSqrt_tb.v] - Diff between revs 39 and 42

Show entire file | Details | Blame | View Log

Rev 39 Rev 42
Line 11... Line 11...
endfunction
endfunction
 
 
parameter N=32;
parameter N=32;
parameter E=8;
parameter E=8;
parameter Bs=log2(N);
parameter Bs=log2(N);
parameter es = 6;
parameter es = 2;
 
 
reg [N-1:0] in;
reg [N-1:0] in;
reg clk;
reg clk;
reg [7:0] cnt;
reg [7:0] cnt;
reg start;
reg start;
Line 28... Line 28...
wire [N-1:0] a, sqrt, sqr, sqr2;
wire [N-1:0] a, sqrt, sqr, sqr2;
wire i,z,d,i1,z1,d1;
wire i,z,d,i1,z1,d1;
 
 
// Instantiate the Unit Under Test (UUT)
// Instantiate the Unit Under Test (UUT)
 
 
intToPosit #(.PSTWID(N), .es(es)) u1a (.i(a1), .o(a));
//intToPosit #(.PSTWID(N), .es(es)) u1a (.i(a1), .o(a));
 
assign a = a1;
positSqrt #(.PSTWID(N), .es(es)) usqrt1 (.clk(clk), .ce(1'b1), .i(a), .o(sqrt), .start(start), .done(done), .zero(), .inf());
positSqrt #(.PSTWID(N), .es(es)) usqrt1 (.clk(clk), .ce(1'b1), .i(a), .o(sqrt), .start(start), .done(done), .zero(), .inf());
positMul #(.PSTWID(N), .es(es)) umul1 (sqrt,sqrt,sqr,z,i);
positMul #(.PSTWID(N), .es(es)) umul1 (sqrt,sqrt,sqr,z,i);
posit_mult #(.N(N), .es(es)) umul2 (sqrt,sqrt,1'b1,sqr2,i1,z1,d1);
posit_mult #(.N(N), .es(es)) umul2 (sqrt,sqrt,1'b1,sqr2,i1,z1,d1);
 
 
        initial begin
        initial begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.