OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [positVerilog/] [positToInt.sv] - Diff between revs 45 and 46

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 45 Rev 46
Line 44... Line 44...
wire inf;
wire inf;
 
 
positDecompose #(.PSTWID(PSTWID), .es(es)) u1 (.i(i), .sgn(sgn), .rgs(rgs), .rgm(rgm), .exp(exp), .sig(sig), .zer(zer), .inf(inf));
positDecompose #(.PSTWID(PSTWID), .es(es)) u1 (.i(i), .sgn(sgn), .rgs(rgs), .rgm(rgm), .exp(exp), .sig(sig), .zer(zer), .inf(inf));
 
 
wire [N-1:0] m = {sig,{es{1'b0}}};
wire [N-1:0] m = {sig,{es{1'b0}}};
// If we have a negative regime then the number is a fraction less than one (output a zero).
wire isZero = zer;
wire isZero = zer|~rgs;
wire [15:0] argm = rgs ? rgm : -rgm;
wire [15:0] ex = (rgm << es) + exp;
wire [15:0] ex1 = (argm << es) + exp;
wire [N-1:0] mo = m >> (PSTWID-ex-1);
wire exv = ~ex1[15] && ex1 > PSTWID-1;
 
wire [N*2-1:0] mo = {m,{N{1'b0}}} >> (PSTWID-ex1-1);
 
wire L = mo[N];
 
wire G = mo[N-1];
 
wire R = mo[N-2];
 
wire St = |mo[N-3:0];
 
// If regime+exp == -1 then the value is 0.5 or greater, so round up.
 
// If the regime+exp < -1 then the values is 0.25 or less, do not round up.
 
// Otherwise use rounding rules.
 
wire ulp = (~ex1[15] && ((G & (R | St)) | (L & G & ~(R | St)))) ||
 
              (ex1==16'hFFFF);
 
wire [PSTWID-1:0] rnd_ulp = {{PSTWID-1{1'b0}},ulp};
 
wire [PSTWID-1:0] tmp = ~rgs ? rnd_ulp : mo[N*2-1:N] + rnd_ulp;
 
 
always @*
always @*
casez({isZero,inf})    // exponent all ones or exponent overflow?
casez({isZero,inf|exv})    // exponent all ones or exponent overflow?
// convert to +0.0 zero-in zero-out (the sign will always be plus)
// convert to +0.0 zero-in zero-out (the sign will always be plus)
2'b1?:  o = {PSTWID{1'b0}};
2'b1?:  o = {PSTWID{1'b0}};
// Infinity in or exponent overflow in conversion = infinity out
// Infinity in or exponent overflow in conversion = infinity out
2'b01:  o = {1'b1,{PSTWID-1{1'b0}}};
2'b01:  o = {1'b1,{PSTWID-1{1'b0}}};
// Other numbers
// Other numbers
default:  o = sgn ? -mo : mo;
default:  o = sgn ? -tmp : tmp;
endcase
endcase
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.