OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog2/] [BCDAdd8NClk.sv] - Diff between revs 70 and 78

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 70 Rev 78
Line 71... Line 71...
output reg co;
output reg co;
 
 
reg [N-1:0] c [0:2];
reg [N-1:0] c [0:2];
wire [N*8-1:0] o1 [0:2];
wire [N*8-1:0] o1 [0:2];
reg [N*8-1:0] o2 [0:2];
reg [N*8-1:0] o2 [0:2];
wire [N:0] d [0:2];
wire [N-1:0] d [0:2];
 
 
genvar g,k;
genvar g,k;
generate begin : gBCDadd
generate begin : gBCDadd
for (g = 0; g < N; g = g + 1) begin
for (g = 0; g < N; g = g + 1) begin
        for (k = 0; k < 3; k = k + 1) begin
        for (k = 0; k < 3; k = k + 1) begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.