OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [DFPAddsub_tb.v] - Diff between revs 50 and 52

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 50 Rev 52
Line 46... Line 46...
reg [127:0] ad,bd;
reg [127:0] ad,bd;
reg [127:0] od;
reg [127:0] od;
reg [3:0] rm;
reg [3:0] rm;
 
 
integer n;
integer n;
reg [115:0] a1, b1;
reg [127:0] a1, b1;
wire [63:0] doubleA = {a[31], a[30], {3{~a[30]}}, a[29:23], a[22:0], {29{1'b0}}};
wire [63:0] doubleA = {a[31], a[30], {3{~a[30]}}, a[29:23], a[22:0], {29{1'b0}}};
wire [63:0] doubleB = {b[31], b[30], {3{~b[30]}}, b[29:23], b[22:0], {29{1'b0}}};
wire [63:0] doubleB = {b[31], b[30], {3{~b[30]}}, b[29:23], b[22:0], {29{1'b0}}};
 
 
integer outfile;
integer outfile;
 
 
Line 69... Line 69...
always #5
always #5
        clk = ~clk;
        clk = ~clk;
 
 
genvar g;
genvar g;
generate begin : gRand
generate begin : gRand
        for (g = 0; g < 116; g = g + 4) begin
        for (g = 0; g < 128; g = g + 4) begin
                always @(posedge clk) begin
                always @(posedge clk) begin
                        a1[g+3:g] <= $urandom() % 10;
                        a1[g+3:g] <= $urandom() % 10;
                        b1[g+3:g] <= $urandom() % 10;
                        b1[g+3:g] <= $urandom() % 10;
                end
                end
        end
        end
Line 94... Line 94...
  end
  end
        count <= count + 1;
        count <= count + 1;
        if (count > 32)
        if (count > 32)
                count <= 1'd1;
                count <= 1'd1;
        if (count==2) begin
        if (count==2) begin
                a[115:0] <= a1;
                a[127:0] <= a1;
                b[115:0] <= b1;
                b[127:0] <= b1;
                a[115:112] <= 4'h5;
                a[127:124] <= 4'h5;
                b[115:112] <= 4'h5;
                b[127:124] <= 4'h5;
                a[127:116] <= 12'hDF0;
 
                b[127:116] <= 12'hDF0;
 
                rm <= adr[14:12];
                rm <= adr[14:12];
                //ad <= memd[adr][63: 0];
                //ad <= memd[adr][63: 0];
                //bd <= memd[adr][127:64];
                //bd <= memd[adr][127:64];
        end
        end
        if (adr==1 && count==2) begin
        if (adr==1 && count==2) begin
                a <= 127'hDF050000700000000000000000000000;
                a <= 127'h50000700000000000000000000000000;
                b <= 127'hDF050000200000000000000000000000;
                b <= 127'h50000200000000000000000000000000;
        end
        end
        if (adr==2 && count==2) begin
        if (adr==2 && count==2) begin
                a <= 127'hDF050000900000000000000000000000;
                a <= 127'h50000900000000000000000000000000;
                b <= 127'hDF050000200000000000000000000000;
                b <= 127'h50000200000000000000000000000000;
        end
        end
        if (adr==3 && count==2) begin
        if (adr==3 && count==2) begin
                a <= 127'hDF050000000000000000000000000000;
                a <= 127'h50000000000000000000000000000000;
                b <= 127'hDF050000000000000000000000000000;
                b <= 127'h50000000000000000000000000000000;
        end
        end
        if (count==31) begin
        if (count==31) begin
                if (adr[11]) begin
                if (adr[11]) begin
                $fwrite(outfile, "%c%h\t%h\t%h\t%h\n", "-",rm, a, b, o);
                $fwrite(outfile, "%c%h\t%h\t%h\t%h\n", "-",rm, a, b, o);
          end
          end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.