OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [DFPMultiply_tb.v] - Diff between revs 54 and 55

Show entire file | Details | Blame | View Log

Rev 54 Rev 55
Line 33... Line 33...
//
//
//
//
// ============================================================================
// ============================================================================
 
 
module DFPMultiply_tb();
module DFPMultiply_tb();
 
parameter N=33;
reg rst;
reg rst;
reg clk;
reg clk;
reg [15:0] adr;
reg [15:0] adr;
reg [127:0] a,b;
reg [N*4+16+4-1:0] a,b;
wire [127:0] o;
wire [N*4+16+4-1:0] o;
reg [127:0] ad,bd;
reg [N*4+16+4-1:0] ad,bd;
wire [127:0] od;
wire [N*4+16+4-1:0] od;
reg [3:0] rm;
reg [3:0] rm;
 
 
integer n;
integer n;
reg [127:0] a1, b1;
reg [127:0] a1, b1;
wire [63:0] doubleA = {a[31], a[30], {3{~a[30]}}, a[29:23], a[22:0], {29{1'b0}}};
wire [63:0] doubleA = {a[31], a[30], {3{~a[30]}}, a[29:23], a[22:0], {29{1'b0}}};
Line 58... Line 59...
        clk = 1'b0;
        clk = 1'b0;
        adr = 0;
        adr = 0;
        a = $urandom(1);
        a = $urandom(1);
        #20 rst = 1;
        #20 rst = 1;
        #50 rst = 0;
        #50 rst = 0;
        #1000000  $fclose(outfile);
        #2000000  $fclose(outfile);
        #10 $finish;
        #10 $finish;
end
end
 
 
always #5
always #5
        clk = ~clk;
        clk = ~clk;
 
 
genvar g;
genvar g;
generate begin : gRand
generate begin : gRand
        for (g = 0; g < 128; g = g + 4) begin
        for (g = 0; g < N*4+16+4; g = g + 4) begin
                always @(posedge clk) begin
                always @(posedge clk) begin
                        a1[g+3:g] <= $urandom() % 10;
                        a1[g+3:g] <= $urandom() % 10;
                        b1[g+3:g] <= $urandom() % 10;
                        b1[g+3:g] <= $urandom() % 10;
                end
                end
        end
        end
Line 90... Line 91...
  if (adr==0) begin
  if (adr==0) begin
    outfile = $fopen("d:/cores2020/rtf64/v2/rtl/verilog/cpu/fpu/test_bench/DFPMultiply_tvo.txt", "wb");
    outfile = $fopen("d:/cores2020/rtf64/v2/rtl/verilog/cpu/fpu/test_bench/DFPMultiply_tvo.txt", "wb");
    $fwrite(outfile, "rm ------ A ------  ------- B ------  - DUT Product -  - SIM Product -\n");
    $fwrite(outfile, "rm ------ A ------  ------- B ------  - DUT Product -  - SIM Product -\n");
  end
  end
        count <= count + 1;
        count <= count + 1;
        if (count > 600)
        if (count > 750)
                count <= 1'd1;
                count <= 1'd1;
        if (count==2) begin
        if (count==2) begin
                a[127:0] <= a1;
                a[N*4+16+4-1:0] <= a1;
                b[127:0] <= b1;
                b[N*4+16+4-1:0] <= b1;
                a[127:124] <= 4'h5;
                a[N*4+16+4-1:N*4+16+4-4] <= 4'h5;
                b[127:124] <= 4'h5;
                b[N*4+16+4-1:N*4+16+4-4] <= 4'h5;
 
                a[N*4+16+4-2] <= adr[7];
 
                a[N*4+16+4-3] <= adr[6];
 
                b[N*4+16+4-1] <= adr[5];
 
                b[N*4+16+4-4] <= adr[4];
                ld <= 1'b1;
                ld <= 1'b1;
                rm <= adr[15:13];
                rm <= adr[15:13];
                //ad <= memd[adr][63: 0];
                //ad <= memd[adr][63: 0];
                //bd <= memd[adr][127:64];
                //bd <= memd[adr][127:64];
        end
        end
        if (adr==1 && count==2) begin
        if (adr==1 && count==2) begin
                a <= 127'h50000700000000000000000000000000;
                a <= 152'h50000700000000000000000000000000000000;
                b <= 127'h50000200000000000000000000000000;
                b <= 152'h50000200000000000000000000000000000000;
        end
        end
        if (adr==1 && count==2) begin
        if (adr==1 && count==2) begin
                a <= 127'h40001333333333333333333333333333;
                a <= 152'h40001333333333333333333333333333333333;
                b <= 127'h50000300000000000000000000000000;
                b <= 152'h50000300000000000000000000000000000000;
        end
        end
        if (adr==2 && count==2) begin
        if (adr==2 && count==2) begin
                a <= 127'h50000900000000000000000000000000;
                a <= 152'h50000900000000000000000000000000000000;
                b <= 127'h50000200000000000000000000000000;
                b <= 152'h50000200000000000000000000000000000000;
        end
        end
        if (adr==3 && count==2) begin
        if (adr==3 && count==2) begin
                a <= 127'h50000000000000000000000000000000;
                a <= 152'h50000000000000000000000000000000000000;
                b <= 127'h50000000000000000000000000000000;
                b <= 152'h50000000000000000000000000000000000000;
        end
        end
        if (adr==4 && count==2) begin
        if (adr==4 && count==2) begin
                a <= 127'h50001100000000000000000000000000;
                a <= 152'h50001100000000000000000000000000000000;
                b <= 127'h50001100000000000000000000000000;
                b <= 152'h50001100000000000000000000000000000000;
        end
        end
        if (count==600) begin
        if (count==750) begin
          $fwrite(outfile, "%h\t%h\t%h\t%h\n", rm, a, b, o);
          $fwrite(outfile, "%h\t%h\t%h\t%h\n", rm, a, b, o);
                adr <= adr + 1;
                adr <= adr + 1;
        end
        end
end
end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.