OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [df128Toi_tb.sv] - Diff between revs 60 and 62

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 60 Rev 62
Line 1... Line 1...
 
// ============================================================================
 
//        __
 
//   \\__/ o\    (C) 2022  Robert Finch, Waterloo
 
//    \  __ /    All rights reserved.
 
//     \/_//     robfinch@finitron.ca
 
//       ||
 
//
 
//      df128Toi_tb.sv
 
//  - test convert decimal floating point to integer
 
//
 
// BSD 3-Clause License
 
// Redistribution and use in source and binary forms, with or without
 
// modification, are permitted provided that the following conditions are met:
 
//
 
// 1. Redistributions of source code must retain the above copyright notice, this
 
//    list of conditions and the following disclaimer.
 
//
 
// 2. Redistributions in binary form must reproduce the above copyright notice,
 
//    this list of conditions and the following disclaimer in the documentation
 
//    and/or other materials provided with the distribution.
 
//
 
// 3. Neither the name of the copyright holder nor the names of its
 
//    contributors may be used to endorse or promote products derived from
 
//    this software without specific prior written permission.
 
//
 
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
 
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
 
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
 
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
 
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
 
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
 
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
 
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
 
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
 
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 
//
 
// ============================================================================
 
 
module df128Toi_tb();
module df128Toi_tb();
 
 
reg rst;
reg rst;
reg clk;
reg clk;
reg [15:0] adr;
reg [15:0] adr;
Line 48... Line 86...
    $fwrite(outfile, "s ------ flt ------  ------ bin ------  \n");
    $fwrite(outfile, "s ------ flt ------  ------ bin ------  \n");
  end
  end
        count <= count + 1;
        count <= count + 1;
        if (count > 140)
        if (count > 140)
                count <= 1'd1;
                count <= 1'd1;
 
        if (adr==1) begin
 
                flt <= 128'h27ffc000000000000000000000000000;
 
        end
        if (adr==2) begin
        if (adr==2) begin
                flt <= 128'h44000000000000000000000000000000;
                flt <= 128'h44000000000000000000000000000000;
        end
        end
        if (adr==3) begin
        if (adr==3) begin
                flt <= 128'h44004000000000000000000000000000;
                flt <= 128'h44004000000000000000000000000000;
        end
        end
 
        if (adr==4) begin
 
                flt <= 128'h44008000000000000000000000000000;
 
        end
        if (adr==5) begin
        if (adr==5) begin
                flt <= 128'h48000000000000000000000000000000;
                flt <= 128'h48000000000000000000000000000000;
        end
        end
        if (count==140) begin
        if (count==140) begin
        $fwrite(outfile, "%c %h\t%h%c\n", adr[11] ? "s" : "u", flt, bin, vf ? "v": " ");
        $fwrite(outfile, "%c %h\t%h%c\n", adr[11] ? "s" : "u", flt, bin, vf ? "v": " ");

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.