OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [fpFMA_tb.v] - Diff between revs 22 and 23

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 23
Line 93... Line 93...
        end
        end
        if (cnt==31)
        if (cnt==31)
        begin
        begin
                adr <= adr + 1;
                adr <= adr + 1;
//              memo[adr] <= {o,c17,b17,a17};
//              memo[adr] <= {o,c17,b17,a17};
 
//              memdo[adr] <= {od,cd17,bd17,ad17};
                memdo[adr] <= {od,cd,bd,ad};
                memdo[adr] <= {od,cd,bd,ad};
                if (adr==8191) begin
                if (adr==8191) begin
                        //$writememh("d:/cores6/rtfItanium/v1/rtl/fpUnit/test_bench/fpFMA_tvo.txt", memo);
                        //$writememh("d:/cores6/rtfItanium/v1/rtl/fpUnit/test_bench/fpFMA_tvo.txt", memo);
                        $writememh("d:/cores6/rtfItanium/v1/rtl/fpUnit/test_bench/fpFMA_tvdo.txt", memdo);
                        $writememh("d:/cores6/rtfItanium/v1/rtl/fpUnit/test_bench/fpFMA_tvdo.txt", memdo);
                        $finish;
                        $finish;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.