Line 291... |
Line 291... |
agent_full_out : out std_logic;
|
agent_full_out : out std_logic;
|
agent_one_d_out : out std_logic;
|
agent_one_d_out : out std_logic;
|
agent_one_p_out : out std_logic;
|
agent_one_p_out : out std_logic;
|
|
|
-- These ports are not in any interface
|
-- These ports are not in any interface
|
debug_in : in std_logic_vector(1 downto 0);
|
--debug_in : in std_logic_vector(1 downto 0);
|
-- debug_out : out std_logic_vector(0 downto 0);
|
-- debug_out : out std_logic_vector(0 downto 0);
|
|
|
-- Interface: rst_n
|
-- Interface: rst_n
|
rst_n : in std_logic
|
rst_n : in std_logic
|
|
|
Line 374... |
Line 374... |
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
|
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
|
bus_full_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
|
bus_full_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
|
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
|
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
|
bus_lock_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
|
bus_lock_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
|
bus_sync_clk => bus_sync_clk,
|
bus_sync_clk => bus_sync_clk,
|
debug_in => (others => '0'),
|
--debug_in => (others => '0'),
|
rst_n => rst_n
|
rst_n => rst_n
|
);
|
);
|
|
|
hibi_wrapper_r4_1 : hibi_wrapper_r4
|
hibi_wrapper_r4_1 : hibi_wrapper_r4
|
generic map (
|
generic map (
|
Line 410... |
Line 410... |
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
|
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
|
bus_full_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1FULL,
|
bus_full_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1FULL,
|
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
|
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
|
bus_lock_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1LOCK,
|
bus_lock_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1LOCK,
|
bus_sync_clk => bus_sync_clk_1,
|
bus_sync_clk => bus_sync_clk_1,
|
debug_in => (others => '0'),
|
--debug_in => (others => '0'),
|
rst_n => rst_n
|
rst_n => rst_n
|
);
|
);
|
|
|
hibi_wrapper_r4_2 : hibi_wrapper_r4
|
hibi_wrapper_r4_2 : hibi_wrapper_r4
|
generic map (
|
generic map (
|
Line 446... |
Line 446... |
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
|
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
|
bus_full_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2FULL,
|
bus_full_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2FULL,
|
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
|
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
|
bus_lock_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2LOCK,
|
bus_lock_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2LOCK,
|
bus_sync_clk => bus_sync_clk_2,
|
bus_sync_clk => bus_sync_clk_2,
|
debug_in => (others => '0'),
|
--debug_in => (others => '0'),
|
rst_n => rst_n
|
rst_n => rst_n
|
);
|
);
|
|
|
hibi_wrapper_r4_3 : hibi_wrapper_r4
|
hibi_wrapper_r4_3 : hibi_wrapper_r4
|
generic map (
|
generic map (
|
Line 482... |
Line 482... |
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
|
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
|
bus_full_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3FULL,
|
bus_full_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3FULL,
|
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
|
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
|
bus_lock_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3LOCK,
|
bus_lock_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3LOCK,
|
bus_sync_clk => bus_sync_clk_3,
|
bus_sync_clk => bus_sync_clk_3,
|
debug_in => (others => '0'),
|
--debug_in => (others => '0'),
|
rst_n => rst_n
|
rst_n => rst_n
|
);
|
);
|
|
|
end structural;
|
end structural;
|
|
|