OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [pkt_codec_mk2/] [1.0/] [vhd/] [addr_translation.vhd] - Diff between revs 145 and 147

Show entire file | Details | Blame | View Log

Rev 145 Rev 147
Line 4... Line 4...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File       : addr_translation.vhd
-- File       : addr_translation.vhd
-- Author     : Lasse Lehtonen
-- Author     : Lasse Lehtonen
-- Company    : 
-- Company    : 
-- Created    : 2011-10-12
-- Created    : 2011-10-12
-- Last update: 2012-03-19
-- Last update: 2012-05-04
-- Platform   : 
-- Platform   : 
-- Standard   : VHDL'87
-- Standard   : VHDL'87
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Description:
-- Description:
--
--
Line 45... Line 45...
    cols_g         : positive;
    cols_g         : positive;
    rows_g         : positive;
    rows_g         : positive;
    agents_g       : positive;
    agents_g       : positive;
    agent_ports_g  : positive;
    agent_ports_g  : positive;
    addr_flit_en_g : natural;
    addr_flit_en_g : natural;
    noc_type_g     : natural
    noc_type_g     : natural;
 
    len_width_g    : natural            -- 2012-05-04
    );
    );
  port (
  port (
    clk           : in  std_logic;
    clk           : in  std_logic;
    rst_n         : in  std_logic;
    rst_n         : in  std_logic;
    -- from IP side
    -- from IP side
    ip_cmd_in     : in  std_logic_vector(cmd_width_g-1 downto 0);
    ip_cmd_in     : in  std_logic_vector(cmd_width_g-1 downto 0);
    ip_data_in    : in  std_logic_vector(data_width_g-1 downto 0);
    ip_data_in    : in  std_logic_vector(data_width_g-1 downto 0);
    ip_stall_out  : out std_logic;
    ip_stall_out  : out std_logic;
 
    ip_len_in     : in  std_logic_vector(len_width_g-1 downto 0);  -- 2012-05-04
    -- to NET
    -- to NET
    net_cmd_out   : out std_logic_vector(cmd_width_g-1 downto 0);
    net_cmd_out   : out std_logic_vector(cmd_width_g-1 downto 0);
    net_data_out  : out std_logic_vector(data_width_g-1 downto 0);
    net_data_out  : out std_logic_vector(data_width_g-1 downto 0);
    net_stall_in  : in  std_logic;
    net_stall_in  : in  std_logic;
    orig_addr_out : out std_logic_vector(data_width_g-1 downto 0));
    orig_addr_out : out std_logic_vector(data_width_g-1 downto 0));
Line 89... Line 91...
      address_mode_g => address_mode_g,
      address_mode_g => address_mode_g,
      cols_g         => cols_g,
      cols_g         => cols_g,
      rows_g         => rows_g,
      rows_g         => rows_g,
      agent_ports_g  => agent_ports_g,
      agent_ports_g  => agent_ports_g,
      agents_g       => agents_g,
      agents_g       => agents_g,
      noc_type_g     => noc_type_g
      noc_type_g     => noc_type_g,
 
      len_width_g    => len_width_g     -- 2012-05-04
      )
      )
    port map (
    port map (
      addr_in  => addr_to_lut,
      addr_in  => addr_to_lut,
 
      len_in   => ip_len_in,            -- 2012-05-04
      addr_out => addr_from_lut
      addr_out => addr_from_lut
      );
      );
 
 
  net_cmd_out   <= ip_cmd_in;
  net_cmd_out   <= ip_cmd_in;
  ip_stall_out  <= net_stall_in;
  ip_stall_out  <= net_stall_in;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.