OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.interface/] [hibi_udp/] [1.0/] [vhd/] [hibi_udp.vhd] - Diff between revs 165 and 171

Show entire file | Details | Blame | View Log

Rev 165 Rev 171
Line 1... Line 1...
-- ***************************************************
-- ***************************************************
-- File: hibi_udp.vhd
-- File: hibi_udp.vhd
-- Creation date: 21.03.2013
-- Creation date: 27.03.2013
-- Creation time: 15:34:28
-- Creation time: 09:27:53
-- Description: 
-- Description: 
-- Created by: matilail
-- Created by: matilail
-- This file was generated with Kactus2 vhdl generator.
-- This file was generated with Kactus2 vhdl generator.
-- ***************************************************
-- ***************************************************
library IEEE;
library IEEE;
Line 173... Line 173...
                        source_port_out : out std_logic_vector(15 downto 0);
                        source_port_out : out std_logic_vector(15 downto 0);
 
 
                        -- Interface: app_tx
                        -- Interface: app_tx
                        -- Application transmit operations
                        -- Application transmit operations
                        new_tx_in : in std_logic;
                        new_tx_in : in std_logic;
                        no_arp_target_MAC_in : in std_logic_vector(47 downto 0);
                        -- no_arp_target_MAC_in : in std_logic_vector(47 downto 0);
                        source_port_in : in std_logic_vector(15 downto 0);
                        source_port_in : in std_logic_vector(15 downto 0);
                        target_addr_in : in std_logic_vector(31 downto 0);
                        target_addr_in : in std_logic_vector(31 downto 0);
                        target_port_in : in std_logic_vector(15 downto 0);
                        target_port_in : in std_logic_vector(15 downto 0);
                        tx_data_in : in std_logic_vector(15 downto 0);
                        tx_data_in : in std_logic_vector(15 downto 0);
                        tx_data_valid_in : in std_logic;
                        tx_data_valid_in : in std_logic;
Line 271... Line 271...
                        eth_reset_out => eth_reset_out,
                        eth_reset_out => eth_reset_out,
                        eth_write_out => eth_write_out,
                        eth_write_out => eth_write_out,
                        link_up_out => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxlink_up_out,
                        link_up_out => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxlink_up_out,
                        new_rx_out => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxnew_rx_out,
                        new_rx_out => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxnew_rx_out,
                        new_tx_in => udp2hibi_0_udp_ip_tx_to_udp_ip_dm9000a_0_app_txnew_tx_in,
                        new_tx_in => udp2hibi_0_udp_ip_tx_to_udp_ip_dm9000a_0_app_txnew_tx_in,
                        no_arp_target_MAC_in => "0",
 
                        rst_n => rst_n,
                        rst_n => rst_n,
                        rx_data_out(15 downto 0) => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxrx_data_out(15 downto 0),
                        rx_data_out(15 downto 0) => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxrx_data_out(15 downto 0),
                        rx_data_valid_out => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxrx_data_valid_out,
                        rx_data_valid_out => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxrx_data_valid_out,
                        rx_erroneous_out => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxrx_erroneous_out,
                        rx_erroneous_out => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxrx_erroneous_out,
                        rx_len_out(10 downto 0) => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxrx_len_out(10 downto 0),
                        rx_len_out(10 downto 0) => udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rxrx_len_out(10 downto 0),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.