OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [basic_tester_example/] [1.0/] [ip_xact/] [basic_tester_hibi_example.design.1.0.xml] - Diff between revs 150 and 151

Show entire file | Details | Blame | View Log

Rev 150 Rev 151
Line 1... Line 1...
 
 
 
 
 
 
 
 
 
 
 
 
        TUT
        TUT
        soc
        soc
        basic_tester_hibi_example.design
        basic_tester_hibi_example.design
        1.0
        1.0
        
        
                
                
                        clk_gen_0
                        basic_tester_tx_0
                        
                        
                        
                        
                        
                        
                        
                        
 
                                "test_tx.txt"
 
                        
                        
                        
                                
                                
                                
                                
                                        
                                        
                                                
                                                
                                        
                                        
                                        
                                        
                                                
                                                
                                        
                                        
                                
                                        
                                
                                                
                                
                                        
                        
                                        
                
 
                
 
                        rst_gen_0
 
                        
 
                        
 
                        
 
                        
 
                        
 
                                
 
                                
 
                                        
 
                                                
                                                
                                        
                                        
                                
                                
                                
                                
                                
                                
Line 73... Line 64...
                                
                                
                                
                                
                        
                        
                
                
                
                
                        basic_tester_tx_0
                        rst_gen_0
                        
                        
                        
                        
                        
                        
                        
                        
                                "test_tx.txt"
 
                        
 
                        
                        
                                
                                
                                
                                
                                        
                                        
                                                
                                                
                                        
 
                                        
 
                                                
 
                                        
 
                                        
 
                                                
 
                                        
                                        
                                        
                                
 
                                
 
                                
 
                        
 
                
 
                
 
                        clk_gen_0
 
                        
 
                        
 
                        
 
                        
 
                        
 
                                
 
                                
 
                                        
                                                
                                                
                                        
                                        
 
                                        
 
                                                
 
                                        
                                
                                
                                
                                
                                
                                
                        
                        
                
                
Line 156... Line 156...
                        
                        
                
                
        
        
        
        
                
                
                        basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1
                        clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1
                        clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_1
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        clk_gen_0_Generated_clk_to_basic_tester_tx_0_clock
                        rst_gen_0_Generated_reset_to_hibi_segment_0_rst_n
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        clk_gen_0_Generated_clk_to_basic_tester_rx_0_clock
                        hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_master
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        rst_r_n
                        rst_t_n
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slave
                        hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slave
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        rst_t_n
                        rst_r_n
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_master
                        clk_gen_0_Generated_clk_to_basic_tester_rx_0_clock
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        rst_gen_0_Generated_reset_to_hibi_segment_0_rst_n
                        clk_gen_0_Generated_clk_to_basic_tester_tx_0_clock
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_1
                        basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1
                        
                        
                        
                        
                        
                        
                        
                        
                
                
                
                
                        clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0
                        basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1
                        
                        
                        
                        
                        
                        
                        
                        
                
                
        
        
        
        
                
                
                        
                        
                        
                        
                
                
                
                
                        
                        
                                
                                
                                
                                
 
                                
 
                                
                        
                        
                        
                        
                                
                                
                                
                                
 
                                
 
                                
                        
                        
                        
                        
                                
                                
                                
                                
 
                                
 
                                
                        
                        
                        
                        
                                
                                
                                
                                
                        
                        
                        
                        
                                
                                
                                
                                
                                
                                
                                
                                
                        
                        
                        
                        
                                
                                
                                
                                
                        
                        
                        
                        
                                
                                
                                
                                
                                
                                
                                
                                
                        
                        
                        
                        
                                
                                
                                
                                
                        
                        
                        
                        
                                
                                
                                
                                
                                
 
                                
 
                        
                        
                        
                        
                                
                                
                                
                                
                                
 
                                
 
                        
                        
                        
                        
                                
                                
                                
                                
                                
 
                                
 
                        
                        
                
                
        
        
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.