Line 1... |
Line 1... |
-- ***************************************************
|
-- ***************************************************
|
-- File: basic_tester_hibi_example.vhd
|
-- File: basic_tester_hibi_example.vhd
|
-- Creation date: 02.07.2012
|
-- Creation date: 21.11.2012
|
-- Creation time: 15:50:14
|
-- Creation time: 14:28:17
|
-- Description: Simple example on how to use basic_tester with hibi.
|
-- Description: Simple example on how to use basic_tester with hibi.
|
-- Hibi is instantiated a) as a segment, b) from 4 wrappers and an OR-network.
|
-- Hibi is instantiated a) as a segment, b) from 4 wrappers and an OR-network.
|
--
|
--
|
-- Tx sends few words to rx which takes and checks them.
|
-- Tx sends few words to rx which takes and checks them.
|
-- Basic_tester is meant for simulation only.
|
-- Basic_tester is meant for simulation only.
|
Line 27... |
Line 27... |
signal basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1COMM : std_logic_vector(4 downto 0);
|
signal basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1COMM : std_logic_vector(4 downto 0);
|
signal basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1DATA : std_logic_vector(31 downto 0);
|
signal basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1DATA : std_logic_vector(31 downto 0);
|
signal basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1EMPTY : std_logic;
|
signal basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1EMPTY : std_logic;
|
signal basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1ONE_D : std_logic;
|
signal basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1ONE_D : std_logic;
|
signal basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1RE : std_logic;
|
signal basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1RE : std_logic;
|
signal clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK : std_logic;
|
signal clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK : std_logic;
|
signal rst_r_nRESETn : std_logic;
|
signal rst_gen_0_Generated_reset_to_hibi_segment_0_rst_nRESETn : std_logic;
|
signal hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterAV : std_logic;
|
signal hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterAV : std_logic;
|
signal hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterCOMM : std_logic_vector(4 downto 0);
|
signal hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterCOMM : std_logic_vector(4 downto 0);
|
signal hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterDATA : std_logic_vector(31 downto 0);
|
signal hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterDATA : std_logic_vector(31 downto 0);
|
signal hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveFULL : std_logic;
|
signal hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveFULL : std_logic;
|
signal hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveONE_P : std_logic;
|
signal hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveONE_P : std_logic;
|
Line 112... |
Line 112... |
);
|
);
|
end component;
|
end component;
|
|
|
component hibi_segment
|
component hibi_segment
|
generic (
|
generic (
|
hibi_addr_0_g : integer := 16#01000000#; -- HIBI address for interface 0
|
ip_mslave_0_addr_end : integer := 2; -- HIBI end address for interface 0
|
hibi_addr_1_g : integer := 16#03000000#; -- HIBI address for interface 1
|
ip_mslave_0_addr_start : integer := 1; -- HIBI address for interface 0
|
hibi_addr_2_g : integer := 16#05000000#; -- HIBI address for interface 2
|
ip_mslave_1_addr_end : integer := 4; -- HIBI end address for interface 1
|
hibi_addr_3_g : integer := 16#07000000# -- HIBI address for interface 3
|
ip_mslave_1_addr_start : integer := 3; -- HIBI address for interface 1
|
|
ip_mslave_2_addr_end : integer := 6; -- HIBI end address for interface 2
|
|
ip_mslave_2_addr_start : integer := 5; -- HIBI address for interface 2
|
|
ip_mslave_3_addr_end : integer := 8; -- HIBI end address for interface 3
|
|
ip_mslave_3_addr_start : integer := 7 -- HIBI address for interface 3
|
|
|
);
|
);
|
port (
|
port (
|
|
|
-- Interface: clocks_0
|
-- Interface: clocks_0
|
Line 136... |
Line 140... |
bus_clk_1 : in std_logic;
|
bus_clk_1 : in std_logic;
|
bus_sync_clk_1 : in std_logic;
|
bus_sync_clk_1 : in std_logic;
|
|
|
-- Interface: clocks_2
|
-- Interface: clocks_2
|
-- Clock inputs interface for hibi wrapper_3
|
-- Clock inputs interface for hibi wrapper_3
|
-- agent_clk_2 : in std_logic;
|
agent_clk_2 : in std_logic;
|
-- agent_sync_clk_2 : in std_logic;
|
agent_sync_clk_2 : in std_logic;
|
-- bus_clk_2 : in std_logic;
|
bus_clk_2 : in std_logic;
|
bus_sync_clk_2 : in std_logic;
|
bus_sync_clk_2 : in std_logic;
|
|
|
-- Interface: clocks_3
|
-- Interface: clocks_3
|
-- Clock inputs interface for hibi wrapper_3
|
-- Clock inputs interface for hibi wrapper_3
|
-- agent_clk_3 : in std_logic;
|
agent_clk_3 : in std_logic;
|
-- agent_sync_clk_3 : in std_logic;
|
agent_sync_clk_3 : in std_logic;
|
-- bus_clk_3 : in std_logic;
|
bus_clk_3 : in std_logic;
|
bus_sync_clk_3 : in std_logic;
|
bus_sync_clk_3 : in std_logic;
|
|
|
-- Interface: ip_mMaster_0
|
-- Interface: ip_mMaster_0
|
-- HIBI ip mirrored master agent interface 0 (r4 wrapper)
|
-- HIBI ip mirrored master agent interface 0 (r4 wrapper)
|
agent_av_in : in std_logic;
|
agent_av_in : in std_logic;
|
Line 280... |
Line 284... |
agent_comm_in(4 downto 0) => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1COMM(4 downto 0),
|
agent_comm_in(4 downto 0) => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1COMM(4 downto 0),
|
agent_data_in(31 downto 0) => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1DATA(31 downto 0),
|
agent_data_in(31 downto 0) => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1DATA(31 downto 0),
|
agent_empty_in => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1EMPTY,
|
agent_empty_in => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1EMPTY,
|
agent_one_d_in => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1ONE_D,
|
agent_one_d_in => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1ONE_D,
|
agent_re_out => basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1RE,
|
agent_re_out => basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1RE,
|
clk => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
clk => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
rst_n => rst_r_nRESETn
|
rst_n => rst_gen_0_Generated_reset_to_hibi_segment_0_rst_nRESETn
|
);
|
);
|
|
|
basic_tester_tx_0 : basic_tester_tx
|
basic_tester_tx_0 : basic_tester_tx
|
generic map (
|
generic map (
|
conf_file_g => "test_tx.txt"
|
conf_file_g => "test_tx.txt"
|
Line 295... |
Line 299... |
agent_comm_out(4 downto 0) => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterCOMM(4 downto 0),
|
agent_comm_out(4 downto 0) => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterCOMM(4 downto 0),
|
agent_data_out(31 downto 0) => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterDATA(31 downto 0),
|
agent_data_out(31 downto 0) => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterDATA(31 downto 0),
|
agent_full_in => hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveFULL,
|
agent_full_in => hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveFULL,
|
agent_one_p_in => hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveONE_P,
|
agent_one_p_in => hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveONE_P,
|
agent_we_out => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterWE,
|
agent_we_out => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterWE,
|
clk => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
clk => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
rst_n => rst_r_nRESETn
|
rst_n => rst_gen_0_Generated_reset_to_hibi_segment_0_rst_nRESETn
|
);
|
);
|
|
|
clk_gen_0 : clk_gen
|
clk_gen_0 : clk_gen
|
port map (
|
port map (
|
clk_out => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK
|
clk_out => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK
|
);
|
);
|
|
|
hibi_segment_0 : hibi_segment(structural)
|
hibi_segment_0 : hibi_segment
|
generic map (
|
|
hibi_addr_0_g => 16#07000000#,
|
|
hibi_addr_1_g => 16#05000000#,
|
|
hibi_addr_2_g => 16#03000000#,
|
|
hibi_addr_3_g => 16#01000000#
|
|
)
|
|
port map (
|
port map (
|
agent_av_in => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterAV,
|
agent_av_in => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterAV,
|
agent_av_in_1 => '0',
|
agent_av_in_1 => '0',
|
agent_av_in_2 => '0',
|
agent_av_in_2 => '0',
|
agent_av_in_3 => '0',
|
agent_av_in_3 => '0',
|
agent_av_out_1 => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1AV,
|
agent_av_out_1 => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1AV,
|
agent_clk => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
agent_clk => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
agent_clk_1 => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
agent_clk_1 => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
|
agent_clk_2 => '0',
|
|
agent_clk_3 => '0',
|
agent_comm_in(4 downto 0) => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterCOMM(4 downto 0),
|
agent_comm_in(4 downto 0) => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterCOMM(4 downto 0),
|
agent_comm_in_1 => (others => '0'),
|
agent_comm_in_1 => (others => '0'),
|
agent_comm_in_2 => (others => '0'),
|
agent_comm_in_2 => (others => '0'),
|
agent_comm_in_3 => (others => '0'),
|
agent_comm_in_3 => (others => '0'),
|
agent_comm_out_1(4 downto 0) => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1COMM(4 downto 0),
|
agent_comm_out_1(4 downto 0) => basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1COMM(4 downto 0),
|
Line 337... |
Line 337... |
agent_one_p_out => hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveONE_P,
|
agent_one_p_out => hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slaveONE_P,
|
agent_re_in => '0',
|
agent_re_in => '0',
|
agent_re_in_1 => basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1RE,
|
agent_re_in_1 => basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1RE,
|
agent_re_in_2 => '0',
|
agent_re_in_2 => '0',
|
agent_re_in_3 => '0',
|
agent_re_in_3 => '0',
|
agent_sync_clk => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
agent_sync_clk => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
agent_sync_clk_1 => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
agent_sync_clk_1 => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
|
agent_sync_clk_2 => '0',
|
|
agent_sync_clk_3 => '0',
|
agent_we_in => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterWE,
|
agent_we_in => hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_masterWE,
|
agent_we_in_1 => '0',
|
agent_we_in_1 => '0',
|
agent_we_in_2 => '0',
|
agent_we_in_2 => '0',
|
agent_we_in_3 => '0',
|
agent_we_in_3 => '0',
|
bus_clk => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
bus_clk => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
bus_clk_1 => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
bus_clk_1 => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
bus_sync_clk => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
bus_clk_2 => '0',
|
bus_sync_clk_1 => clk_gen_0_Generated_clk_to_basic_tester_tx_0_clockCLK,
|
bus_clk_3 => '0',
|
|
bus_sync_clk => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
|
bus_sync_clk_1 => clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0AGENT_SYNC_CLK,
|
bus_sync_clk_2 => '0',
|
bus_sync_clk_2 => '0',
|
bus_sync_clk_3 => '0',
|
bus_sync_clk_3 => '0',
|
rst_n => rst_r_nRESETn
|
rst_n => rst_gen_0_Generated_reset_to_hibi_segment_0_rst_nRESETn
|
);
|
);
|
|
|
rst_gen_0 : rst_gen
|
rst_gen_0 : rst_gen
|
port map (
|
port map (
|
rst_n_out => rst_r_nRESETn
|
rst_n_out => rst_gen_0_Generated_reset_to_hibi_segment_0_rst_nRESETn
|
);
|
);
|
|
|
end structural_seg;
|
end structural_seg;
|
|
|
|
|
No newline at end of file
|
No newline at end of file
|