OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [de2_samos_soc/] [1.0/] [de2_samos_soc.designcfg.1.0.xml] - Diff between revs 145 and 147

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 145 Rev 147
Line 1... Line 1...
 
 
 
 
 
 
 
 
 
 
 
 
        TUT
        TUT
        soc
        soc
        de2_samos_soc.designcfg
        de2_samos_soc.designcfg
        1.0
        1.0
Line 36... Line 36...
        
        
                nios_ii_sram_0
                nios_ii_sram_0
                rtl
                rtl
        
        
        
        
 
                nios_ii_subsystem_0
 
                structural
 
        
 
        
                pll_0
                pll_0
                rtl
                rtl
        
        
        
        
                pll_50_MHz
                pll_50_MHz

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.