URL
https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk
[/] [galois_lfsr/] [trunk/] [rtl/] [galois-lfsr.vhdl] - Diff between revs 7 and 8
Show entire file |
Details |
Blame |
View Log
Rev 7 |
Rev 8 |
Line 1... |
Line 1... |
/*
|
/*
|
This file is part of the Galois Linear Feedback Shift Register
|
This file is part of the Galois-type linear-feedback shift register
|
(galois_lfsr) project:
|
(galois_lfsr) project:
|
http://www.opencores.org/project,galois_lfsr
|
http://www.opencores.org/project,galois_lfsr
|
|
|
Description
|
Description
|
Synthesisable use case for Galois LFSR.
|
Synthesisable use case for Galois LFSR.
|
Line 42... |
Line 42... |
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all;
|
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all;
|
/* Enable for synthesis; comment out for simulation.
|
/* Enable for synthesis; comment out for simulation.
|
For this design, we just need boolean_vector. This is already included in Questa/ModelSim,
|
For this design, we just need boolean_vector. This is already included in Questa/ModelSim,
|
but Quartus doesn't yet support this.
|
but Quartus doesn't yet support this.
|
*/
|
*/
|
use work.types.all;
|
--use work.types.all;
|
|
|
entity lfsr is generic(
|
entity lfsr is generic(
|
/*
|
/*
|
* Tap vector: a TRUE means that position is tapped, otherwise that position is untapped.
|
* Tap vector: a TRUE means that position is tapped, otherwise that position is untapped.
|
*/
|
*/
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.