Line 150... |
Line 150... |
i_send_have_more_data : in std_logic;
|
i_send_have_more_data : in std_logic;
|
i_send_counter_load : in std_logic;
|
i_send_counter_load : in std_logic;
|
i_send_counter_en : in std_logic;
|
i_send_counter_en : in std_logic;
|
o_send_counter_zero : out std_logic;
|
o_send_counter_zero : out std_logic;
|
i_send_mux_sel : in std_logic_vector(2 downto 0);
|
i_send_mux_sel : in std_logic_vector(2 downto 0);
|
o_send_finished : out std_logic;
|
|
i_receive_newdata_set : in std_logic;
|
i_receive_newdata_set : in std_logic;
|
o_receive_newdata : out std_logic;
|
o_receive_newdata : out std_logic;
|
i_receive_end_of_message_set : in std_logic;
|
i_receive_end_of_message_set : in std_logic;
|
o_receive_end_of_message : out std_logic;
|
o_receive_end_of_message : out std_logic;
|
i_send_data_request_set : in std_logic;
|
i_send_data_request_set : in std_logic;
|
Line 184... |
Line 183... |
o_send_fifo_reset : out std_logic;
|
o_send_fifo_reset : out std_logic;
|
o_send_counter_load : out std_logic;
|
o_send_counter_load : out std_logic;
|
o_send_counter_en : out std_logic;
|
o_send_counter_en : out std_logic;
|
i_send_counter_zero : in std_logic;
|
i_send_counter_zero : in std_logic;
|
o_send_mux_sel : out std_logic_vector(2 downto 0);
|
o_send_mux_sel : out std_logic_vector(2 downto 0);
|
|
o_send_finished : out std_logic;
|
o_receive_newdata_set : out std_logic;
|
o_receive_newdata_set : out std_logic;
|
o_receive_end_of_message_set : out std_logic;
|
o_receive_end_of_message_set : out std_logic;
|
o_send_data_request_set : out std_logic;
|
o_send_data_request_set : out std_logic;
|
i_gpif_rx : in std_logic;
|
i_gpif_rx : in std_logic;
|
i_gpif_rx_empty : in std_logic;
|
i_gpif_rx_empty : in std_logic;
|
Line 340... |
Line 340... |
o_send_fifo_reset => s_send_fifo_reset,
|
o_send_fifo_reset => s_send_fifo_reset,
|
o_send_counter_load => s_send_counter_load,
|
o_send_counter_load => s_send_counter_load,
|
o_send_counter_en => s_send_counter_en,
|
o_send_counter_en => s_send_counter_en,
|
i_send_counter_zero => s_send_counter_zero,
|
i_send_counter_zero => s_send_counter_zero,
|
o_send_mux_sel => s_send_mux_sel,
|
o_send_mux_sel => s_send_mux_sel,
|
|
o_send_finished => o_send_finished,
|
o_receive_newdata_set => s_receive_newdata_set,
|
o_receive_newdata_set => s_receive_newdata_set,
|
o_receive_end_of_message_set => s_receive_end_of_message_set,
|
o_receive_end_of_message_set => s_receive_end_of_message_set,
|
o_send_data_request_set => s_send_data_request_set,
|
o_send_data_request_set => s_send_data_request_set,
|
i_gpif_rx => s_gpif_rx,
|
i_gpif_rx => s_gpif_rx,
|
i_gpif_rx_empty => s_gpif_rx_empty,
|
i_gpif_rx_empty => s_gpif_rx_empty,
|