Line 128... |
Line 128... |
o_receive_fifo_full : out std_logic;
|
o_receive_fifo_full : out std_logic;
|
o_receive_fifo_data : out std_logic_vector(BUSWIDTH-1 downto 0);
|
o_receive_fifo_data : out std_logic_vector(BUSWIDTH-1 downto 0);
|
i_receive_fifo_reset : in std_logic;
|
i_receive_fifo_reset : in std_logic;
|
o_receive_transfersize : out std_logic_vector(31 downto 0);
|
o_receive_transfersize : out std_logic_vector(31 downto 0);
|
i_receive_transfersize_en : in std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
|
i_receive_transfersize_en : in std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
|
|
o_receive_transfersize_lsb : out std_logic;
|
i_receive_counter_load : in std_logic;
|
i_receive_counter_load : in std_logic;
|
i_receive_counter_en : in std_logic;
|
i_receive_counter_en : in std_logic;
|
o_receive_counter_zero : out std_logic;
|
o_receive_counter_zero : out std_logic;
|
o_dev_dep_msg_out : out std_logic;
|
o_dev_dep_msg_out : out std_logic;
|
o_request_dev_dep_msg_in : out std_logic;
|
o_request_dev_dep_msg_in : out std_logic;
|
Line 166... |
Line 167... |
i_sysclk : in std_logic;
|
i_sysclk : in std_logic;
|
o_receive_fifo_wr_en : out std_logic;
|
o_receive_fifo_wr_en : out std_logic;
|
i_receive_fifo_full : in std_logic;
|
i_receive_fifo_full : in std_logic;
|
o_receive_fifo_reset : out std_logic;
|
o_receive_fifo_reset : out std_logic;
|
o_receive_transfersize_en : out std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
|
o_receive_transfersize_en : out std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
|
|
i_receive_transfersize_lsb : in std_logic;
|
o_receive_counter_load : out std_logic;
|
o_receive_counter_load : out std_logic;
|
o_receive_counter_en : out std_logic;
|
o_receive_counter_en : out std_logic;
|
i_receive_counter_zero : in std_logic;
|
i_receive_counter_zero : in std_logic;
|
i_dev_dep_msg_out : in std_logic;
|
i_dev_dep_msg_out : in std_logic;
|
i_request_dev_dep_msg_in : in std_logic;
|
i_request_dev_dep_msg_in : in std_logic;
|
Line 219... |
Line 221... |
signal s_receive_fifo_wr_en : std_logic;
|
signal s_receive_fifo_wr_en : std_logic;
|
signal s_receive_fifo_empty : std_logic;
|
signal s_receive_fifo_empty : std_logic;
|
signal s_receive_fifo_full : std_logic;
|
signal s_receive_fifo_full : std_logic;
|
signal s_receive_fifo_reset : std_logic;
|
signal s_receive_fifo_reset : std_logic;
|
signal s_receive_transfersize_en : std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
|
signal s_receive_transfersize_en : std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
|
|
signal s_receive_transfersize_lsb: std_logic;
|
signal s_receive_counter_load : std_logic;
|
signal s_receive_counter_load : std_logic;
|
signal s_receive_counter_en : std_logic;
|
signal s_receive_counter_en : std_logic;
|
signal s_receive_counter_zero : std_logic;
|
signal s_receive_counter_zero : std_logic;
|
|
|
signal s_dev_dep_msg_out : std_logic;
|
signal s_dev_dep_msg_out : std_logic;
|
Line 284... |
Line 287... |
o_receive_fifo_full => s_receive_fifo_full,
|
o_receive_fifo_full => s_receive_fifo_full,
|
o_receive_fifo_data => o_receive_fifo_data,
|
o_receive_fifo_data => o_receive_fifo_data,
|
i_receive_fifo_reset => s_receive_fifo_reset,
|
i_receive_fifo_reset => s_receive_fifo_reset,
|
o_receive_transfersize => o_receive_transfersize,
|
o_receive_transfersize => o_receive_transfersize,
|
i_receive_transfersize_en => s_receive_transfersize_en,
|
i_receive_transfersize_en => s_receive_transfersize_en,
|
|
o_receive_transfersize_lsb => s_receive_transfersize_lsb,
|
i_receive_counter_load => s_receive_counter_load,
|
i_receive_counter_load => s_receive_counter_load,
|
i_receive_counter_en => s_receive_counter_en,
|
i_receive_counter_en => s_receive_counter_en,
|
o_receive_counter_zero => s_receive_counter_zero,
|
o_receive_counter_zero => s_receive_counter_zero,
|
o_dev_dep_msg_out => s_dev_dep_msg_out,
|
o_dev_dep_msg_out => s_dev_dep_msg_out,
|
o_request_dev_dep_msg_in => s_request_dev_dep_msg_in,
|
o_request_dev_dep_msg_in => s_request_dev_dep_msg_in,
|
Line 323... |
Line 327... |
i_sysclk => i_sysclk,
|
i_sysclk => i_sysclk,
|
o_receive_fifo_wr_en => s_receive_fifo_wr_en,
|
o_receive_fifo_wr_en => s_receive_fifo_wr_en,
|
i_receive_fifo_full => s_receive_fifo_full,
|
i_receive_fifo_full => s_receive_fifo_full,
|
o_receive_fifo_reset => s_receive_fifo_reset,
|
o_receive_fifo_reset => s_receive_fifo_reset,
|
o_receive_transfersize_en => s_receive_transfersize_en,
|
o_receive_transfersize_en => s_receive_transfersize_en,
|
|
i_receive_transfersize_lsb => s_receive_transfersize_lsb,
|
o_receive_counter_load => s_receive_counter_load,
|
o_receive_counter_load => s_receive_counter_load,
|
o_receive_counter_en => s_receive_counter_en,
|
o_receive_counter_en => s_receive_counter_en,
|
i_receive_counter_zero => s_receive_counter_zero,
|
i_receive_counter_zero => s_receive_counter_zero,
|
i_dev_dep_msg_out => s_dev_dep_msg_out,
|
i_dev_dep_msg_out => s_dev_dep_msg_out,
|
i_request_dev_dep_msg_in => s_request_dev_dep_msg_in,
|
i_request_dev_dep_msg_in => s_request_dev_dep_msg_in,
|