OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.vhd] - Diff between revs 27 and 28

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 28
Line 81... Line 81...
  signal c_transfer_size_rom : std_logic_vector(31 downto 0) := x"0000000E";
  signal c_transfer_size_rom : std_logic_vector(31 downto 0) := x"0000000E";
 
 
  -- we will transmitt 1 MiB data when the pseude random number generator
  -- we will transmitt 1 MiB data when the pseude random number generator
  -- is used:
  -- is used:
  --signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00100000";
  --signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00100000";
  signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00000FA0";
  signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00000001";
 
 
 
 
  ----------------------------------------------------------------------------- 
  ----------------------------------------------------------------------------- 
  --     COMPONENTS  
  --     COMPONENTS  
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
Line 334... Line 334...
  send_counter : process (i_sysclk, i_nReset)
  send_counter : process (i_sysclk, i_nReset)
  begin  -- process send_counter
  begin  -- process send_counter
    if i_nReset = '0' then              -- asynchronous reset (active low)
    if i_nReset = '0' then              -- asynchronous reset (active low)
      s_send_counter_value <= (others => '0');
      s_send_counter_value <= (others => '0');
    elsif i_sysclk'event and i_sysclk = '1' then  -- rising clock edge
    elsif i_sysclk'event and i_sysclk = '1' then  -- rising clock edge
      if s_send_counter_reset = '1' then
      if s_send_counter_reset = '1' and  s_send_counter_en = '0' then
        s_send_counter_value <= (others => '0');
        s_send_counter_value <= (others => '0');
      end if;
      end if;
      if s_send_counter_en = '1' then
      if s_send_counter_reset = '0' and s_send_counter_en = '1' then
        s_send_counter_value(31 downto 2) <=
        s_send_counter_value(31 downto 2) <=
          s_send_counter_value(31 downto 2) + 1;
          s_send_counter_value(31 downto 2) + 1;
        s_send_counter_value(1 downto 0) <= "00";  -- every fifo write (32bit)
        s_send_counter_value(1 downto 0) <= "00";  -- every fifo write (32bit)
                                                   -- transfers 4 bytes.
                                                   -- transfers 4 bytes.
      end if;
      end if;
    end if;
    end if;
  end process send_counter;
  end process send_counter;
 
 
  -- transfer size counter comparator
  -- transfer size counter comparator
  s_send_counter_equals_transfer_size <=
  s_send_counter_equals_transfer_size <=
    '1' when s_send_counter_value >= s_send_transfersize else
    '1' when s_send_counter_value > s_send_transfersize else
    '0';
    '0';
 
 
 
 
  -- purpose: subracts the send counter end value from the remaining transfer size value
  -- purpose: subracts the send counter end value from the remaining transfer size value
  -- type   : combinational
  -- type   : combinational

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.