Line 41... |
Line 41... |
|
|
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
-- COMPONENTS
|
-- COMPONENTS
|
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
|
|
-- FIFO IN
|
|
|
|
|
|
component fifo_U2X_2C_1024B
|
|
port (
|
|
din : IN std_logic_VECTOR(SIZE_DBUS_GPIF-1 downto 0);
|
|
rd_clk : IN std_logic;
|
|
rd_en : IN std_logic;
|
|
rst : IN std_logic;
|
|
wr_clk : IN std_logic;
|
|
wr_en : IN std_logic;
|
|
almost_empty : OUT std_logic;
|
|
almost_full : OUT std_logic;
|
|
dout : OUT std_logic_VECTOR(SIZE_DBUS_FPGA-1 downto 0);
|
|
empty : OUT std_logic;
|
|
full : OUT std_logic);
|
|
end component;
|
|
|
|
|
|
attribute box_type of fifo_U2X_2C_1024B : component is "black_box";
|
|
|
|
--<!-->
|
|
|
|
-- FIFO OUT
|
|
|
|
component fifo_X2U_2C_1024B
|
|
port (
|
|
din : IN std_logic_VECTOR(SIZE_DBUS_FPGA-1 downto 0);
|
|
rd_clk : IN std_logic;
|
|
rd_en : IN std_logic;
|
|
rst : IN std_logic;
|
|
wr_clk : IN std_logic;
|
|
wr_en : IN std_logic;
|
|
almost_empty : OUT std_logic;
|
|
almost_full : OUT std_logic;
|
|
dout : OUT std_logic_VECTOR(SIZE_DBUS_GPIF-1 downto 0);
|
|
empty : OUT std_logic;
|
|
full : OUT std_logic);
|
|
end component;
|
|
|
|
attribute box_type of fifo_X2U_2C_1024B : component is "black_box";
|
|
--<!-->
|
|
|
|
-- FSM GPIF
|
|
|
|
component gpif_com
|
|
port (
|
|
i_nReset,
|
|
i_IFCLK, -- GPIF CLK (is Master)
|
|
i_WRU, -- write from GPIF
|
|
i_RDYU : in std_logic; -- GPIF is ready
|
|
i_U2X_FULL,
|
|
i_U2X_AM_FULL, -- signals for IN FIFO
|
|
i_X2U_AM_EMPTY,
|
|
i_X2U_EMPTY : in std_logic; -- signals for OUT FIFO
|
|
i_dbus : in std_logic_vector(SIZE_DBUS_GPIF-1 downto 0); -- OUT FIFO DBUS
|
|
o_U2X_WR_EN, -- signals for IN FIFO
|
|
o_X2U_RD_EN, -- signals for OUT FIFO
|
|
o_FIFOrst,
|
|
o_WRX, -- To write to GPIF
|
|
o_RDYX : out std_logic; -- Core is ready
|
|
o_LEDrx,
|
|
o_LEDtx,
|
|
o_LEDrun : out std_logic; --
|
|
o_dbus : out std_logic_vector(SIZE_DBUS_GPIF-1 downto 0); -- IN FIFO DBUS
|
|
b_dbus : inout std_logic_vector(SIZE_DBUS_GPIF-1 downto 0)); -- bidirect data bus
|
|
end component;
|
|
--<!-->
|
--<!-->
|
|
|
-- FSM Loopback
|
-- FSM Loopback
|
component USB_TMC_IP_loopback
|
component USB_TMC_IP_loopback
|
port (
|
port (
|