OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [message_rom.vhd] - Diff between revs 18 and 20

Show entire file | Details | Blame | View Log

Rev 18 Rev 20
Line 13... Line 13...
 
 
architecture rtl of message_rom is
architecture rtl of message_rom is
        subtype ROM_WORD is std_logic_vector(15 downto 0);
        subtype ROM_WORD is std_logic_vector(15 downto 0);
        type ROM_TABLE is array(0 to 31) of ROM_WORD;
        type ROM_TABLE is array(0 to 31) of ROM_WORD;
        signal ROM: ROM_TABLE := ROM_TABLE'(
        signal ROM: ROM_TABLE := ROM_TABLE'(
 
                "0000000000000000",     -- 0x0000
                "0000001000000010",     -- 0x0000
                "0000001000000010",     -- 0x0000
                "0000000011111101",     -- 0x0002
                "0000000011111101",     -- 0x0002
                "0000000000100000",     -- 0x0004
                "0000000000100001",     -- 0x0004
                "0000000000000000",     -- 0x0006
                "0000000000000000",     -- 0x0006
                "0000000000000001",     -- 0x0008
                "0000000000000001",     -- 0x0008
                "0000000000000000",     -- 0x000A
                "0000000000000000",     -- 0x000A
                "0110100101001101",     -- 0x000C
                "0110100101001101",     -- 0x000C
                "0111001001100011",     -- 0x000E
                "0111001001100011",     -- 0x000E
Line 43... Line 44...
                "----------------",     -- 0x0032
                "----------------",     -- 0x0032
                "----------------",     -- 0x0034
                "----------------",     -- 0x0034
                "----------------",     -- 0x0036
                "----------------",     -- 0x0036
                "----------------",     -- 0x0038
                "----------------",     -- 0x0038
                "----------------",     -- 0x003A
                "----------------",     -- 0x003A
                "----------------",     -- 0x003C
                "----------------");    -- 0x003C
                "----------------");    -- 0x003E
 
begin
begin
        D <= ROM(to_integer(unsigned(A)));
        D <= ROM(to_integer(unsigned(A)));
end;
end;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.