URL
https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 6 |
Line 1... |
Line 1... |
|
|
library IEEE;
|
library IEEE;
|
use IEEE.STD_LOGIC_1164.ALL;
|
use IEEE.STD_LOGIC_1164.ALL;
|
|
|
entity XorCrearor is
|
entity XorCrearor is
|
|
generic (
|
|
size: integer := 4
|
|
);
|
port(
|
port(
|
input1 : in std_logic;
|
input1 : in std_logic;
|
input2 : in std_logic_vector;
|
input2 : in std_logic_vector(size-1 downto 0);
|
result : out std_logic_vector);
|
result : out std_logic_vector(size-1 downto 0));
|
end XorCrearor;
|
end XorCrearor;
|
|
|
architecture Behavioral of XorCrearor is
|
architecture Behavioral of XorCrearor is
|
|
|
begin
|
begin
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.