OpenCores
URL https://opencores.org/ocsvn/gpio/gpio/trunk

Subversion Repositories gpio

[/] [gpio/] [trunk/] [rtl/] [verilog/] [gpio_top.v] - Diff between revs 22 and 23

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 23
Line 43... Line 43...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.7  2001/12/25 17:21:21  lampret
 
// Fixed two typos.
 
//
// Revision 1.6  2001/12/25 17:12:35  lampret
// Revision 1.6  2001/12/25 17:12:35  lampret
// Added RGPIO_INTS.
// Added RGPIO_INTS.
//
//
// Revision 1.5  2001/12/12 20:35:53  lampret
// Revision 1.5  2001/12/12 20:35:53  lampret
// Fixing style.
// Fixing style.
Line 249... Line 252...
`ifdef GPIO_REGISTERED_WB_OUTPUTS
`ifdef GPIO_REGISTERED_WB_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_ack_o <= #1 1'b0;
                wb_ack_o <= #1 1'b0;
        else
        else
                wb_ack_o <= #1 wb_ack;
                wb_ack_o <= #1 wb_ack & ~wb_ack_o;
`else
`else
assign wb_ack_o = wb_ack;
assign wb_ack_o = wb_ack;
`endif
`endif
 
 
//
//
Line 279... Line 282...
`ifdef GPIO_REGISTERED_WB_OUTPUTS
`ifdef GPIO_REGISTERED_WB_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_err_o <= #1 1'b0;
                wb_err_o <= #1 1'b0;
        else
        else
                wb_err_o <= #1 wb_err;
                wb_err_o <= #1 wb_err & ~wb_err_o;
`else
`else
assign wb_err_o = wb_err;
assign wb_err_o = wb_err;
`endif
`endif
 
 
//
//

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.