OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v1p1/] [sim/] [top/] [sim.sh] - Diff between revs 21 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 21 Rev 24
Line 1... Line 1...
quit -sim
quit -sim
 
 
 
vlib altera
 
vdel -lib altera -all
vlib work
vlib work
vdel -lib work -all
vdel -lib work -all
vlib work
 
 
 
 
vlib altera
 
# compile vendor dependent files
 
vlog -work altera altera_mf.v
 
 
 
vlib work
# compile vendor independent files
# compile vendor independent files
vlog -work work ../../rtl/top/ha1588.v +initreg+0
vlog -work work ../../rtl/top/ha1588.v +initreg+0
vlog -work work ../../rtl/reg/reg.v +initreg+0
vlog -work work ../../rtl/reg/reg.v +initreg+0
vlog -work work ../../rtl/rtc/rtc.v +initreg+0
vlog -work work ../../rtl/rtc/rtc.v +initreg+0
vlog -work work ../../rtl/tsu/tsu.v +initreg+0
vlog -work work ../../rtl/tsu/tsu.v +initreg+0
vlog -work work ../../rtl/tsu/ptp_queue.v +initreg+0
vlog -work work ../../rtl/tsu/ptp_queue.v +initreg+0
vlog -work work ../../rtl/tsu/ptp_parser.v +initreg+0
vlog -work work ../../rtl/tsu/ptp_parser.v +initreg+0
 
 
# compile vendor dependent files
 
vlog -work work altera_mf.v
 
 
 
# compile testbench files
# compile testbench files
vlog -work work -sv ha1588_tb.v
vlog -work work -sv ha1588_tb.v
 
 
# compile driver bfm files
# compile nic driver bfm files
 
vlog -work work -sv nic_drv_bfm/gmii_rx_bfm.v
 
vlog -work work -sv nic_drv_bfm/gmii_tx_bfm.v
 
 
 
# compile ptp driver bfm files
vlog -work work -sv ptp_drv_bfm/ptp_drv_bfm.v
vlog -work work -sv ptp_drv_bfm/ptp_drv_bfm.v
 
 
# compile driver bfm files
# compile driver bfm files
# Sytemverilog DPI steps to combine sv and c
# Sytemverilog DPI steps to combine sv and c
# step 1: generate dpiheader.h
# step 1: generate dpiheader.h
Line 30... Line 38...
gcc -c -I $::env(MODEL_TECH)/../include ptp_drv_bfm/ptp_drv_bfm.c
gcc -c -I $::env(MODEL_TECH)/../include ptp_drv_bfm/ptp_drv_bfm.c
# step 4: generate ptp_drv_bfm_c.o
# step 4: generate ptp_drv_bfm_c.o
gcc -shared -Bsymbolic -o ptp_drv_bfm_c.so ptp_drv_bfm.o
gcc -shared -Bsymbolic -o ptp_drv_bfm_c.so ptp_drv_bfm.o
 
 
vsim -novopt \
vsim -novopt \
 
     -L altera \
     -sv_lib ptp_drv_bfm_c \
     -sv_lib ptp_drv_bfm_c \
     -t ps \
     -t ps \
     ha1588_tb
     ha1588_tb
 
 
log -r */*
log -r */*
radix -hexadecimal
radix -hexadecimal
do wave.do
do wave.do
 
 
run 10000ns
run 50000ns
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.