OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v2p0/] [sim/] [tsu/] [wave.do] - Diff between revs 5 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 8
Line 18... Line 18...
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
add wave -noupdate -divider {New Divider}
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_cnt
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/ptp_cnt
add wave -noupdate -divider {New Divider}
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_valid_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_valid_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_sop_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_sop_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_eop_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_eop_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_mod_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_mod_d1
Line 31... Line 31...
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_vlan
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_vlan
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_ip
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_ip
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_udp
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_udp
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_port
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_port
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
add wave -noupdate -divider {New Divider}
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
add wave -noupdate -divider {New Divider}
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/aclr
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/wrclk
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/wrreq
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/queue/data
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/queue/wrusedw
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdclk
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdreq
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/queue/q
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/queue/rdusedw
 
add wave -noupdate -divider {New Divider}
add wave -noupdate -divider {New Divider}
 
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_TX/parser/ptp_cnt
 
add wave -noupdate -divider {New Divider}
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_valid_d1
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_sop_d1
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_eop_d1
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_mod_d1
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_data_d1
 
add wave -noupdate -divider {New Divider}
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_vlan
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_ip
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_udp
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_port
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_event
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_msgid
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_seqid
 
add wave -noupdate -divider {New Divider}
 
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_found
 
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_infor
add wave -noupdate -divider {New Divider}
add wave -noupdate -divider {New Divider}
TreeUpdate [SetDefaultTree]
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {2531732 ps} 0}
WaveRestoreCursors {{Cursor 1} {21230000 ps} 0}
configure wave -namecolwidth 150
configure wave -namecolwidth 307
configure wave -valuecolwidth 165
configure wave -valuecolwidth 165
configure wave -justifyvalue left
configure wave -justifyvalue left
configure wave -signalnamewidth 0
configure wave -signalnamewidth 0
configure wave -snapdistance 10
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -datasetprefix 0
Line 63... Line 73...
configure wave -gridperiod 1
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timeline 0
configure wave -timelineunits ns
configure wave -timelineunits ns
update
update
WaveRestoreZoom {0 ps} {27073200 ps}
WaveRestoreZoom {16852432 ps} {30375568 ps}
WaveRestoreZoom {16852432 ps} {30375568 ps}
WaveRestoreZoom {16852432 ps} {30375568 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.