OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [rtl/] [bus/] [xps/] [pcores/] [ha1588_axi_v1_00_a/] [hdl/] [verilog/] [ha1588_axi.v] - Diff between revs 68 and 73

Show entire file | Details | Blame | View Log

Rev 68 Rev 73
Line 24... Line 24...
    input  wire                                S_AXI_REG_WVALID,
    input  wire                                S_AXI_REG_WVALID,
    output wire                                S_AXI_REG_WREADY,
    output wire                                S_AXI_REG_WREADY,
 
 
    // Register Slave Interface Write Response Ports
    // Register Slave Interface Write Response Ports
    output wire [2-1:0]                        S_AXI_REG_BRESP,
    output wire [2-1:0]                        S_AXI_REG_BRESP,
    output wire                                S_AXI_REG_BVALID,
    output reg                                 S_AXI_REG_BVALID,
    input  wire                                S_AXI_REG_BREADY,
    input  wire                                S_AXI_REG_BREADY,
 
 
    // Register Slave Interface Read Address Ports
    // Register Slave Interface Read Address Ports
    input  wire [C_S_AXI_REG_ADDR_WIDTH-1:0]   S_AXI_REG_ARADDR,
    input  wire [C_S_AXI_REG_ADDR_WIDTH-1:0]   S_AXI_REG_ARADDR,
    input  wire [3-1:0]                        S_AXI_REG_ARPROT,
    input  wire [3-1:0]                        S_AXI_REG_ARPROT,
Line 76... Line 76...
  // TODO: to support read response channel holding
  // TODO: to support read response channel holding
  //////////////////////////////////////////////////////////////////////////////
  //////////////////////////////////////////////////////////////////////////////
  assign S_AXI_REG_AWREADY = 1'b1;
  assign S_AXI_REG_AWREADY = 1'b1;
  assign S_AXI_REG_WREADY  = 1'b1;
  assign S_AXI_REG_WREADY  = 1'b1;
  assign S_AXI_REG_BRESP   = 2'b00;
  assign S_AXI_REG_BRESP   = 2'b00;
  assign S_AXI_REG_BVALID  = S_AXI_REG_WVALID;
  always @(negedge S_AXI_REG_ARESETN or posedge S_AXI_REG_ACLK) begin
 
    if (!S_AXI_REG_ARESETN) S_AXI_REG_BVALID <= 1'b0;
 
    else                    S_AXI_REG_BVALID <= S_AXI_REG_WVALID;
 
  end
  assign S_AXI_REG_ARREADY = 1'b1;
  assign S_AXI_REG_ARREADY = 1'b1;
  assign S_AXI_REG_RDATA   = up_data_rd;
  assign S_AXI_REG_RDATA   = up_data_rd;
  assign S_AXI_REG_RRESP   = 2'b00;
  assign S_AXI_REG_RRESP   = 2'b00;
  always @(negedge S_AXI_REG_ARESETN or posedge S_AXI_REG_ACLK) begin
  always @(negedge S_AXI_REG_ARESETN or posedge S_AXI_REG_ACLK) begin
    if (!S_AXI_REG_ARESETN) S_AXI_REG_RVALID <= 1'b0;
    if (!S_AXI_REG_ARESETN) S_AXI_REG_RVALID <= 1'b0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.