OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [rtl/] [top/] [ha1588.v] - Diff between revs 30 and 32

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 30 Rev 32
Line 6... Line 6...
  input  [ 7:0] addr_in,
  input  [ 7:0] addr_in,
  input  [31:0] data_in,
  input  [31:0] data_in,
  output [31:0] data_out,
  output [31:0] data_out,
 
 
  input rtc_clk,
  input rtc_clk,
 
  output [31:0] rtc_time_ptp_ns,
 
  output [47:0] rtc_time_ptp_sec,
 
 
  input       rx_gmii_clk,
  input       rx_gmii_clk,
  input       rx_gmii_ctrl,
  input       rx_gmii_ctrl,
  input [7:0] rx_gmii_data,
  input [7:0] rx_gmii_data,
  input       tx_gmii_clk,
  input       tx_gmii_clk,
Line 25... Line 27...
wire [37:0] rtc_time_acc_modulo;
wire [37:0] rtc_time_acc_modulo;
wire [31:0] rtc_adj_ld_data;
wire [31:0] rtc_adj_ld_data;
wire [39:0] rtc_period_adj;
wire [39:0] rtc_period_adj;
wire [37:0] rtc_time_reg_ns_val;
wire [37:0] rtc_time_reg_ns_val;
wire [47:0] rtc_time_reg_sec_val;
wire [47:0] rtc_time_reg_sec_val;
wire [35:0] rtc_time_reg_val = {rtc_time_reg_sec_val[3:0], 2'b00, rtc_time_reg_ns_val[37:8]};  // 16.000,000,000 sec
wire [79:0] rtc_time_ptp_val = {rtc_time_ptp_sec[47:0], rtc_time_ptp_ns[31:0]};
 
 
wire rx_q_rst, rx_q_clk;
wire rx_q_rst, rx_q_clk;
wire rx_q_rd_en;
wire rx_q_rd_en;
wire [ 7:0] rx_q_stat;
wire [ 7:0] rx_q_stat;
wire [63:0] rx_q_data;
wire [63:0] rx_q_data;
Line 84... Line 86...
  .time_acc_modulo(rtc_time_acc_modulo),
  .time_acc_modulo(rtc_time_acc_modulo),
  .adj_ld(rtc_adj_ld),
  .adj_ld(rtc_adj_ld),
  .adj_ld_data(rtc_adj_ld_data),
  .adj_ld_data(rtc_adj_ld_data),
  .period_adj(rtc_period_adj),
  .period_adj(rtc_period_adj),
  .time_reg_ns(rtc_time_reg_ns_val),
  .time_reg_ns(rtc_time_reg_ns_val),
  .time_reg_sec(rtc_time_reg_sec_val)
  .time_reg_sec(rtc_time_reg_sec_val),
 
  .time_ptp_ns(rtc_time_ptp_ns),
 
  .time_ptp_sec(rtc_time_ptp_sec)
);
);
 
 
tsu u_rx_tsu
tsu u_rx_tsu
(
(
  .rst(rst),
  .rst(rst),
  .gmii_clk(rx_gmii_clk),
  .gmii_clk(rx_gmii_clk),
  .gmii_ctrl(rx_gmii_ctrl),
  .gmii_ctrl(rx_gmii_ctrl),
  .gmii_data(rx_gmii_data),
  .gmii_data(rx_gmii_data),
  .rtc_timer_clk(rtc_clk),
  .rtc_timer_clk(rtc_clk),
  .rtc_timer_in(rtc_time_reg_val),
  .rtc_timer_in(rtc_time_ptp_val),
  .q_rst(rx_q_rst),
  .q_rst(rx_q_rst),
  .q_rd_clk(rx_q_clk),
  .q_rd_clk(rx_q_clk),
  .q_rd_en(rx_q_rd_en),
  .q_rd_en(rx_q_rd_en),
  .q_rd_stat(rx_q_stat),
  .q_rd_stat(rx_q_stat),
  .q_rd_data(rx_q_data)
  .q_rd_data(rx_q_data)
Line 109... Line 113...
  .rst(rst),
  .rst(rst),
  .gmii_clk(tx_gmii_clk),
  .gmii_clk(tx_gmii_clk),
  .gmii_ctrl(tx_gmii_ctrl),
  .gmii_ctrl(tx_gmii_ctrl),
  .gmii_data(tx_gmii_data),
  .gmii_data(tx_gmii_data),
  .rtc_timer_clk(rtc_clk),
  .rtc_timer_clk(rtc_clk),
  .rtc_timer_in(rtc_time_reg_val),
  .rtc_timer_in(rtc_time_ptp_val),
  .q_rst(tx_q_rst),
  .q_rst(tx_q_rst),
  .q_rd_clk(tx_q_clk),
  .q_rd_clk(tx_q_clk),
  .q_rd_en(tx_q_rd_en),
  .q_rd_en(tx_q_rd_en),
  .q_rd_stat(tx_q_stat),
  .q_rd_stat(tx_q_stat),
  .q_rd_data(tx_q_data)
  .q_rd_data(tx_q_data)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.