OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [rtl/] [tsu/] [tsu.v] - Diff between revs 18 and 27

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 27
Line 6... Line 6...
    input       gmii_clk,
    input       gmii_clk,
    input       gmii_ctrl,
    input       gmii_ctrl,
    input [7:0] gmii_data,
    input [7:0] gmii_data,
 
 
    input        rtc_timer_clk,
    input        rtc_timer_clk,
    input [31:0] rtc_timer_in,  // timeStamp1s_2bit + timeStamp1ns_30bit
    input [35:0] rtc_timer_in,  // timeStamp1s_6bit + timeStamp1ns_30bit
 
 
    input         q_rst,
    input         q_rst,
    input         q_rd_clk,
    input         q_rd_clk,
    input         q_rd_en,
    input         q_rd_en,
    output [ 7:0] q_rd_stat,
    output [ 7:0] q_rd_stat,
    output [55:0] q_rd_data  // null_4bit + seqId_16bit + msgId_4bit + timeStamp1s_2bit + timeStamp1ns_30bit
    output [63:0] q_rd_data  // seqId_16bit + msgId_4bit + null_8bit + timeStamp1s_6bit + timeStamp1ns_30bit
);
);
 
 
// buffer gmii input
// buffer gmii input
reg       int_gmii_ctrl;
reg       int_gmii_ctrl;
reg       int_gmii_ctrl_d1, int_gmii_ctrl_d2, int_gmii_ctrl_d3, int_gmii_ctrl_d4, int_gmii_ctrl_d5;
reg       int_gmii_ctrl_d1, int_gmii_ctrl_d2, int_gmii_ctrl_d3, int_gmii_ctrl_d4, int_gmii_ctrl_d5;
Line 58... Line 58...
    ts_req_d1 <= ts_req;
    ts_req_d1 <= ts_req;
    ts_req_d2 <= ts_req_d1;
    ts_req_d2 <= ts_req_d1;
    ts_req_d3 <= ts_req_d2;
    ts_req_d3 <= ts_req_d2;
  end
  end
end
end
reg [31:0] rtc_time_stamp;
reg [35:0] rtc_time_stamp;
always @(posedge rst or posedge rtc_timer_clk) begin
always @(posedge rst or posedge rtc_timer_clk) begin
  if (rst)
  if (rst)
    rtc_time_stamp <= 32'd0;
    rtc_time_stamp <= 36'd0;
  else
  else
    if (ts_req_d2 & !ts_req_d3)
    if (ts_req_d2 & !ts_req_d3)
      rtc_time_stamp <= rtc_timer_in;
      rtc_time_stamp <= rtc_timer_in;
end
end
reg ts_ack, ts_ack_clr;
reg ts_ack, ts_ack_clr;
Line 88... Line 88...
    ts_ack_d1 <= ts_ack;
    ts_ack_d1 <= ts_ack;
    ts_ack_d2 <= ts_ack_d1;
    ts_ack_d2 <= ts_ack_d1;
    ts_ack_d3 <= ts_ack_d2;
    ts_ack_d3 <= ts_ack_d2;
  end
  end
end
end
reg [31:0] gmii_time_stamp;
reg [35:0] gmii_time_stamp;
always @(posedge rst or posedge gmii_clk) begin
always @(posedge rst or posedge gmii_clk) begin
  if (rst) begin
  if (rst) begin
    gmii_time_stamp <= 32'd0;
    gmii_time_stamp <= 36'd0;
    ts_ack_clr      <= 1'b0;
    ts_ack_clr      <= 1'b0;
  end
  end
  else begin
  else begin
    if (ts_ack_d2 & !ts_ack_d3) begin
    if (ts_ack_d2 & !ts_ack_d3) begin
      gmii_time_stamp <= rtc_time_stamp;
      gmii_time_stamp <= rtc_time_stamp;
Line 160... Line 160...
end
end
 
 
// ptp packet parser here
// ptp packet parser here
// works at 1/4 gmii_clk frequency, needs multicycle timing constraint
// works at 1/4 gmii_clk frequency, needs multicycle timing constraint
wire        ptp_found;
wire        ptp_found;
wire [51:0] ptp_infor;
wire [19:0] ptp_infor;
ptp_parser parser(
ptp_parser parser(
  .clk(gmii_clk),
  .clk(gmii_clk),
  .rst(rst),
  .rst(rst),
  .int_data(int_data),
  .int_data(int_data),
  .int_valid(int_valid),
  .int_valid(int_valid),
  .int_sop(int_sop),
  .int_sop(int_sop),
  .int_eop(int_eop),
  .int_eop(int_eop),
  .int_mod(int_mod),
  .int_mod(int_mod),
  .sop_time(gmii_time_stamp),
 
  .ptp_found(ptp_found),
  .ptp_found(ptp_found),
  .ptp_infor(ptp_infor)
  .ptp_infor(ptp_infor)
);
);
 
 
// ptp time stamp dcfifo
// ptp time stamp dcfifo
wire q_wr_clk = gmii_clk;
wire q_wr_clk = gmii_clk;
wire q_wr_en = ptp_found;
wire q_wr_en = ptp_found;
wire [55:0] q_wr_data = {4'd0, ptp_infor};
wire [63:0] q_wr_data = {ptp_infor, 8'd0, gmii_time_stamp};  // 20+8+36 bit
wire [3:0] q_wrusedw;
wire [3:0] q_wrusedw;
wire [3:0] q_rdusedw;
wire [3:0] q_rdusedw;
 
 
ptp_queue queue(
ptp_queue queue(
  .aclr(q_rst),
  .aclr(q_rst),
 
 
  .wrclk(q_wr_clk),
  .wrclk(q_wr_clk),
  .wrreq(q_wr_en && q_wrusedw<=15),
  .wrreq(q_wr_en && q_wrusedw<=15),  // write with overflow protection
  .data(q_wr_data),
  .data(q_wr_data),
  .wrusedw(q_wrusedw),
  .wrusedw(q_wrusedw),
 
 
  .rdclk(q_rd_clk),
  .rdclk(q_rd_clk),
  .rdreq(q_rd_en && q_rdusedw>=1),
  .rdreq(q_rd_en && q_rdusedw>= 1),  // read with underflow protection
  .q(q_rd_data),
  .q(q_rd_data),
  .rdusedw(q_rdusedw)
  .rdusedw(q_rdusedw)
);
);
 
 
assign q_rd_stat = {4'd0, q_rdusedw};
assign q_rd_stat = {4'd0, q_rdusedw};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.