OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [rtl/] [tsu/] [tsu.v] - Diff between revs 29 and 32

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 29 Rev 32
Line 6... Line 6...
    input       gmii_clk,
    input       gmii_clk,
    input       gmii_ctrl,
    input       gmii_ctrl,
    input [7:0] gmii_data,
    input [7:0] gmii_data,
 
 
    input        rtc_timer_clk,
    input        rtc_timer_clk,
    input [35:0] rtc_timer_in,  // timeStamp1s_6bit + timeStamp1ns_30bit
    input [79:0] rtc_timer_in,  // timeStamp1s_48bit + timeStamp1ns_32bit
 
 
    input         q_rst,
    input         q_rst,
    input         q_rd_clk,
    input         q_rd_clk,
    input         q_rd_en,
    input         q_rd_en,
    output [ 7:0] q_rd_stat,
    output [ 7:0] q_rd_stat,
    output [63:0] q_rd_data  // seqId_16bit + msgId_4bit + null_8bit + timeStamp1s_6bit + timeStamp1ns_30bit
    output [63:0] q_rd_data  // seqId_16bit + msgId_4bit + null_8bit + timeStamp1s_4bit + timeStamp1ns_32bit
);
);
 
 
// buffer gmii input
// buffer gmii input
reg       int_gmii_ctrl;
reg       int_gmii_ctrl;
reg       int_gmii_ctrl_d1, int_gmii_ctrl_d2, int_gmii_ctrl_d3, int_gmii_ctrl_d4, int_gmii_ctrl_d5;
reg       int_gmii_ctrl_d1, int_gmii_ctrl_d2, int_gmii_ctrl_d3, int_gmii_ctrl_d4, int_gmii_ctrl_d5;
Line 64... Line 64...
always @(posedge rst or posedge rtc_timer_clk) begin
always @(posedge rst or posedge rtc_timer_clk) begin
  if (rst)
  if (rst)
    rtc_time_stamp <= 36'd0;
    rtc_time_stamp <= 36'd0;
  else
  else
    if (ts_req_d2 & !ts_req_d3)
    if (ts_req_d2 & !ts_req_d3)
      rtc_time_stamp <= rtc_timer_in;
      rtc_time_stamp <= rtc_timer_in[35:0];  // 16.000,000,000 sec
end
end
reg ts_ack, ts_ack_clr;
reg ts_ack, ts_ack_clr;
always @(posedge ts_ack_clr or posedge rtc_timer_clk) begin
always @(posedge ts_ack_clr or posedge rtc_timer_clk) begin
  if (ts_ack_clr)
  if (ts_ack_clr)
    ts_ack <= 1'b0;
    ts_ack <= 1'b0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.