OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [rtc/] [sim.bat] - Diff between revs 52 and 62

Show entire file | Details | Blame | View Log

Rev 52 Rev 62
Line 1... Line 1...
title %CD%
title %CD%
 
 
SET LM_LICENSE_FILE=C:\lmlicense\licensefile.dat
 
SET MODEL_TECH=C:\modeltech_6.5b\win32
 
SET PATH=C:\Modeltech_6.5b\win32;C:\modeltech_6.5b\gcc-4.2.1-mingw32\bin
 
 
 
vsim -do sim.do
vsim -do sim.do
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.