OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [ha1588_tb.v] - Diff between revs 21 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 21 Rev 24
Line 21... Line 21...
initial begin
initial begin
      rst = 1'b1;
      rst = 1'b1;
  #10 rst = 1'b0;
  #10 rst = 1'b0;
end
end
 
 
 
wire        rx_gmii_clk;
 
wire        rx_gmii_ctrl;
 
wire [ 7:0] rx_gmii_data;
 
wire        tx_gmii_clk;
 
wire        tx_gmii_ctrl;
 
wire [ 7:0] tx_gmii_data;
 
 
 
gmii_rx_bfm NIC_DRV_RX_BFM (
 
  .gmii_rxclk(rx_gmii_clk),
 
  .gmii_rxctrl(rx_gmii_ctrl),
 
  .gmii_rxdata(rx_gmii_data)
 
);
 
 
 
gmii_tx_bfm NIC_DRV_TX_BFM (
 
  .gmii_txclk(tx_gmii_clk),
 
  .gmii_txctrl(tx_gmii_ctrl),
 
  .gmii_txdata(tx_gmii_data)
 
);
 
 
ptp_drv_bfm_sv PTP_DRV_BFM (
ptp_drv_bfm_sv PTP_DRV_BFM (
  .up_clk(up_clk),
  .up_clk(up_clk),
  .up_wr(up_wr),
  .up_wr(up_wr),
  .up_rd(up_rd),
  .up_rd(up_rd),
  .up_addr(up_addr),
  .up_addr(up_addr),
Line 41... Line 60...
  .data_in(up_data_wr),
  .data_in(up_data_wr),
  .data_out(up_data_rd),
  .data_out(up_data_rd),
 
 
  .rtc_clk(rtc_clk),
  .rtc_clk(rtc_clk),
 
 
  .rx_gmii_clk(),
  .rx_gmii_clk(rx_gmii_clk),
  .rx_gmii_ctrl(),
  .rx_gmii_ctrl(rx_gmii_ctrl),
  .rx_gmii_data(),
  .rx_gmii_data(rx_gmii_data),
  .tx_gmii_clk(),
  .tx_gmii_clk(tx_gmii_clk),
  .tx_gmii_ctrl(),
  .tx_gmii_ctrl(tx_gmii_ctrl),
  .tx_gmii_data()
  .tx_gmii_data(tx_gmii_data)
);
);
 
 
initial begin
initial begin
        ha1588_tb.PTP_DRV_BFM.up_start = 1;
        ha1588_tb.PTP_DRV_BFM.up_start = 1;
        #100000000 $stop;
        #100000000 $stop;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.