OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [ha1588_tb.v] - Diff between revs 38 and 54

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 38 Rev 54
Line 21... Line 21...
 
 
`timescale 1ns/1ns
`timescale 1ns/1ns
 
 
module ha1588_tb ();
module ha1588_tb ();
 
 
 
parameter giga_mode = 1'b1;
 
 
reg up_clk;
reg up_clk;
wire up_wr, up_rd;
wire up_wr, up_rd;
wire [ 7:0] up_addr;
wire [ 7:0] up_addr;
wire [31:0] up_data_wr, up_data_rd;
wire [31:0] up_data_wr, up_data_rd;
initial begin
initial begin
Line 54... Line 56...
gmii_rx_bfm NIC_DRV_RX_BFM (
gmii_rx_bfm NIC_DRV_RX_BFM (
  .gmii_rxclk(rx_gmii_clk),
  .gmii_rxclk(rx_gmii_clk),
  .gmii_rxctrl(rx_gmii_ctrl),
  .gmii_rxctrl(rx_gmii_ctrl),
  .gmii_rxdata(rx_gmii_data)
  .gmii_rxdata(rx_gmii_data)
);
);
 
defparam NIC_DRV_RX_BFM.giga_mode = giga_mode;
 
 
gmii_tx_bfm NIC_DRV_TX_BFM (
gmii_tx_bfm NIC_DRV_TX_BFM (
  .gmii_txclk(tx_gmii_clk),
  .gmii_txclk(tx_gmii_clk),
  .gmii_txctrl(tx_gmii_ctrl),
  .gmii_txctrl(tx_gmii_ctrl),
  .gmii_txdata(tx_gmii_data)
  .gmii_txdata(tx_gmii_data)
);
);
 
defparam NIC_DRV_TX_BFM.giga_mode = giga_mode;
 
 
ptp_drv_bfm_sv PTP_DRV_BFM (
ptp_drv_bfm_sv PTP_DRV_BFM (
  .up_clk(up_clk),
  .up_clk(up_clk),
  .up_wr(up_wr),
  .up_wr(up_wr),
  .up_rd(up_rd),
  .up_rd(up_rd),
Line 86... Line 90...
  .rtc_time_ptp_sec(),
  .rtc_time_ptp_sec(),
 
 
  .rx_gmii_clk(rx_gmii_clk),
  .rx_gmii_clk(rx_gmii_clk),
  .rx_gmii_ctrl(rx_gmii_ctrl),
  .rx_gmii_ctrl(rx_gmii_ctrl),
  .rx_gmii_data(rx_gmii_data),
  .rx_gmii_data(rx_gmii_data),
 
  .rx_giga_mode(giga_mode),
  .tx_gmii_clk(tx_gmii_clk),
  .tx_gmii_clk(tx_gmii_clk),
  .tx_gmii_ctrl(tx_gmii_ctrl),
  .tx_gmii_ctrl(tx_gmii_ctrl),
  .tx_gmii_data(tx_gmii_data)
  .tx_gmii_data(tx_gmii_data),
 
  .tx_giga_mode(giga_mode)
);
);
 
 
initial begin
initial begin
        ha1588_tb.PTP_DRV_BFM.up_start = 1;
        ha1588_tb.PTP_DRV_BFM.up_start = 1;
        #100000000 $stop;
        #100000000 $stop;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.