OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [ptp_drv_bfm/] [ptp_drv_bfm.c] - Diff between revs 22 and 23

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 23
Line 20... Line 20...
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x0000002C;
  cpu_addr_i = 0x0000002C;
  cpu_data_i = 0x0;
  cpu_data_i = 0x0;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x4;
  cpu_data_i = 0x0;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x0;
  cpu_data_i = 0x4;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  // RESET RTC
  // RESET RTC
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x10;
  cpu_data_i = 0x0;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x0;
  cpu_data_i = 0x10;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  // LOAD RTC SEC AND NS
  // LOAD RTC SEC AND NS
  cpu_addr_i = 0x00000010;
  cpu_addr_i = 0x00000010;
  cpu_data_i = 0x0;
  cpu_data_i = 0x0;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
Line 46... Line 46...
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x0000001C;
  cpu_addr_i = 0x0000001C;
  cpu_data_i = 0x0;
  cpu_data_i = 0x0;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x8;
  cpu_data_i = 0x0;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x0;
  cpu_data_i = 0x8;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  // LOAD RTC ADJ
  // LOAD RTC ADJ
  cpu_addr_i = 0x00000030;
  cpu_addr_i = 0x00000030;
  cpu_data_i = 0x100;
  cpu_data_i = 0x100;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
Line 62... Line 62...
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x0000003C;
  cpu_addr_i = 0x0000003C;
  cpu_data_i = 0x20;
  cpu_data_i = 0x20;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
 
  cpu_data_i = 0x0;
 
  cpu_wr(cpu_addr_i, cpu_data_i);
 
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x2;
  cpu_data_i = 0x2;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
 
  // READ RTC SEC AND NS
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x0;
  cpu_data_i = 0x0;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
  // READ RTC SEC AND NS
 
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x1;
  cpu_data_i = 0x1;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
 
  cpu_rd(cpu_addr_i, &cpu_data_o);
 
  cpu_addr_i = 0X00000040;
 
  cpu_rd(cpu_addr_i, &cpu_data_o);
 
  cpu_addr_i = 0X00000044;
 
  cpu_rd(cpu_addr_i, &cpu_data_o);
 
  cpu_addr_i = 0X00000048;
 
  cpu_rd(cpu_addr_i, &cpu_data_o);
 
  cpu_addr_i = 0X0000004C;
 
  cpu_rd(cpu_addr_i, &cpu_data_o);
 
  // READ RTC SEC AND NS
  cpu_addr_i = 0x00000000;
  cpu_addr_i = 0x00000000;
  cpu_data_i = 0x0;
  cpu_data_i = 0x0;
  cpu_wr(cpu_addr_i, cpu_data_i);
  cpu_wr(cpu_addr_i, cpu_data_i);
 
  cpu_addr_i = 0x00000000;
 
  cpu_data_i = 0x1;
 
  cpu_wr(cpu_addr_i, cpu_data_i);
 
  cpu_rd(cpu_addr_i, &cpu_data_o);
  cpu_addr_i = 0X00000040;
  cpu_addr_i = 0X00000040;
  cpu_rd(cpu_addr_i, &cpu_data_o);
  cpu_rd(cpu_addr_i, &cpu_data_o);
  cpu_addr_i = 0X00000044;
  cpu_addr_i = 0X00000044;
  cpu_rd(cpu_addr_i, &cpu_data_o);
  cpu_rd(cpu_addr_i, &cpu_data_o);
  cpu_addr_i = 0X00000048;
  cpu_addr_i = 0X00000048;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.