OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [ptp_drv_bfm/] [ptp_drv_bfm.v] - Diff between revs 21 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 21 Rev 24
Line 44... Line 44...
        up_rd_o   = 1'b1;
        up_rd_o   = 1'b1;
        for (i=0; i<1; i=i+1) @(posedge up_clk);
        for (i=0; i<1; i=i+1) @(posedge up_clk);
        up_addr_o = addr;
        up_addr_o = addr;
        up_rd_o   = 1'b0;
        up_rd_o   = 1'b0;
        for (i=0; i<2; i=i+1) @(posedge up_clk);
        for (i=0; i<2; i=i+1) @(posedge up_clk);
 
        data      = up_data_rd;
        //$display("rd %08x %08x", addr, data);
        //$display("rd %08x %08x", addr, data);
endtask
endtask
 
 
export "DPI-C" task cpu_hd;
export "DPI-C" task cpu_hd;
task cpu_hd(input int t);
task cpu_hd(input int t);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.