OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.do] - Diff between revs 5 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 13
Line 1... Line 1...
quit -sim
quit -sim
 
 
vlib altera
vlib altera
 
vdel -lib altera -all
 
vlib work
 
vdel -lib work -all
 
 
 
vlib altera
vlog -work altera altera_mf.v
vlog -work altera altera_mf.v
 
 
vlib work
vlib work
vlog -work work ../../rtl/tsu/tsu_queue.v
vlog -work work ../../rtl/tsu/tsu_queue.v
vlog -work work ../../rtl/tsu/ptp_parser.v
vlog -work work ../../rtl/tsu/ptp_parser.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.