OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.do] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 1... Line 1...
quit -sim
quit -sim
 
 
 
vlib altera
 
vlog -work altera altera_mf.v
 
 
vlib work
vlib work
vlog -work work ../../rtl/tsu/tsu_queue.v
vlog -work work ../../rtl/tsu/tsu_queue.v
vlog -work work ../../rtl/tsu/ptp_parser.v
vlog -work work ../../rtl/tsu/ptp_parser.v
 
vlog -work work ../../rtl/tsu/ptp_queue.v
vlog -work work gmii_rx_bfm.v
vlog -work work gmii_rx_bfm.v
vlog -work work gmii_tx_bfm.v
vlog -work work gmii_tx_bfm.v
vlog -work work tsu_queue_tb.v
vlog -work work tsu_queue_tb.v
vsim -novopt work.tsu_queue_tb
vsim -novopt -L altera work.tsu_queue_tb
 
 
log -r */*
log -r */*
radix -hexadecimal
radix -hexadecimal
do wave.do
do wave.do
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.