OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [tsu_queue_tb.v] - Diff between revs 9 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 12
Line 17... Line 17...
wire [55:0] q_rd_data;
wire [55:0] q_rd_data;
 
 
initial begin
initial begin
  // emulate the hardware behavior when power-up
  // emulate the hardware behavior when power-up
  DUT_RX.ts_ack = 1'b0;
  DUT_RX.ts_ack = 1'b0;
  DUT_TX.ts_ack = 1'b0;
  //DUT_TX.ts_ack = 1'b0;
 
 
      rst = 1'b0;
      rst = 1'b0;
  #10 rst = 1'b1;
  #10 rst = 1'b1;
  #20 rst = 1'b0;
  #20 rst = 1'b0;
end
end
Line 64... Line 64...
    .gmii_rxclk(gmii_rxclk),
    .gmii_rxclk(gmii_rxclk),
    .gmii_rxctrl(gmii_rxctrl),
    .gmii_rxctrl(gmii_rxctrl),
    .gmii_rxdata(gmii_rxdata)
    .gmii_rxdata(gmii_rxdata)
  );
  );
 
 
 
/*
tsu_queue DUT_TX
tsu_queue DUT_TX
  (
  (
    .rst(rst),
    .rst(rst),
 
 
    .gmii_clk(gmii_txclk),
 
    .gmii_ctrl(gmii_txctrl),
 
    .gmii_data(gmii_txdata),
 
 
 
    .rtc_timer_clk(rtc_timer_clk),
    .gmii_clk(gmii_txclk),
    .rtc_timer_in(rtc_timer_in[31:0]),
    .gmii_ctrl(gmii_txctrl),
 
    .gmii_data(gmii_txdata),
    .q_rst(rst),
 
    .q_rd_clk(q_rd_clk),
    .rtc_timer_clk(rtc_timer_clk),
    .q_rd_en(),
    .rtc_timer_in(rtc_timer_in[31:0]),
    .q_rd_stat(),
 
    .q_rd_data()
    .q_rst(rst),
  );
    .q_rd_clk(q_rd_clk),
 
    .q_rd_en(),
 
    .q_rd_stat(),
 
    .q_rd_data()
 
  );
 
 
gmii_tx_bfm BFM_TX
gmii_tx_bfm BFM_TX
  (
  (
    .gmii_txclk(gmii_txclk),
    .gmii_txclk(gmii_txclk),
    .gmii_txctrl(gmii_txctrl),
    .gmii_txctrl(gmii_txctrl),
    .gmii_txdata(gmii_txdata)
    .gmii_txdata(gmii_txdata)
  );
  );
 
*/
 
 
endmodule
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.