OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [tsu_queue_tb.v] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 9... Line 9...
wire       gmii_txclk;
wire       gmii_txclk;
wire       gmii_txctrl;
wire       gmii_txctrl;
wire [7:0] gmii_txdata;
wire [7:0] gmii_txdata;
reg        rtc_timer_clk;
reg        rtc_timer_clk;
reg [79:0] rtc_timer_in;
reg [79:0] rtc_timer_in;
 
reg         q_rd_clk;
 
reg         q_rd_en;
 
wire [ 7:0] q_rd_stat;
 
wire [91:0] q_rd_data;
 
 
initial begin
initial begin
  DUT_RX.ts_ack = 1'b0;
  DUT_RX.ts_ack = 1'b0;
 
 
      rst = 1'b0;
      rst = 1'b0;
  #10 rst = 1'b1;
  #10 rst = 1'b1;
  #20 rst = 1'b0;
  #20 rst = 1'b0;
end
end
 
 
initial begin
initial begin
 
             q_rd_clk = 1'b0;
 
  forever #5 q_rd_clk = !q_rd_clk;
 
end
 
 
 
initial begin
             rtc_timer_clk = 1'b0;
             rtc_timer_clk = 1'b0;
  forever #4 rtc_timer_clk = !rtc_timer_clk;
  forever #4 rtc_timer_clk = !rtc_timer_clk;
end
end
 
 
initial begin
initial begin
Line 37... Line 46...
    .gmii_clk(gmii_rxclk),
    .gmii_clk(gmii_rxclk),
    .gmii_ctrl(gmii_rxctrl),
    .gmii_ctrl(gmii_rxctrl),
    .gmii_data(gmii_rxdata),
    .gmii_data(gmii_rxdata),
 
 
    .rtc_timer_clk(rtc_timer_clk),
    .rtc_timer_clk(rtc_timer_clk),
    .rtc_timer_in(rtc_timer_in)
    .rtc_timer_in(rtc_timer_in),
 
 
 
    .q_rst(rst),
 
    .q_rd_clk(q_rd_clk),
 
    .q_rd_en(q_rd_en),
 
    .q_rd_stat(q_rd_stat),
 
    .q_rd_data(q_rd_data)
  );
  );
 
 
gmii_rx_bfm BFM_RX
gmii_rx_bfm BFM_RX
  (
  (
    .gmii_rxclk(gmii_rxclk),
    .gmii_rxclk(gmii_rxclk),
Line 56... Line 71...
    .gmii_clk(gmii_txclk),
    .gmii_clk(gmii_txclk),
    .gmii_ctrl(gmii_txctrl),
    .gmii_ctrl(gmii_txctrl),
    .gmii_data(gmii_txdata),
    .gmii_data(gmii_txdata),
 
 
    .rtc_timer_clk(rtc_timer_clk),
    .rtc_timer_clk(rtc_timer_clk),
    .rtc_timer_in(rtc_timer_in)
    .rtc_timer_in(rtc_timer_in),
 
 
 
    .q_rst(rst),
 
    .q_rd_clk(q_rd_clk),
 
    .q_rd_en(),
 
    .q_rd_stat(),
 
    .q_rd_data()
  );
  );
 
 
gmii_tx_bfm BFM_TX
gmii_tx_bfm BFM_TX
  (
  (
    .gmii_txclk(gmii_txclk),
    .gmii_txclk(gmii_txclk),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.